DE112013000813T5 - Halbleitereinheiten mit Finnenstrukturen und Verfahren zum Ausbilden von Halbleitereinheiten mit Finnenstrukturen - Google Patents
Halbleitereinheiten mit Finnenstrukturen und Verfahren zum Ausbilden von Halbleitereinheiten mit Finnenstrukturen Download PDFInfo
- Publication number
- DE112013000813T5 DE112013000813T5 DE112013000813.0T DE112013000813T DE112013000813T5 DE 112013000813 T5 DE112013000813 T5 DE 112013000813T5 DE 112013000813 T DE112013000813 T DE 112013000813T DE 112013000813 T5 DE112013000813 T5 DE 112013000813T5
- Authority
- DE
- Germany
- Prior art keywords
- fin structures
- fin
- dielectric
- semiconductor material
- gate structure
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 361
- 238000000034 method Methods 0.000 title claims description 108
- 239000000463 material Substances 0.000 claims abstract description 271
- 239000000758 substrate Substances 0.000 claims abstract description 39
- 239000004020 conductor Substances 0.000 claims abstract description 24
- 238000005530 etching Methods 0.000 claims description 58
- 125000006850 spacer group Chemical group 0.000 claims description 54
- 229910052732 germanium Inorganic materials 0.000 claims description 29
- 239000000203 mixture Substances 0.000 claims description 28
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 27
- 229910052710 silicon Inorganic materials 0.000 claims description 27
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 26
- 239000010703 silicon Substances 0.000 claims description 26
- 238000000151 deposition Methods 0.000 claims description 23
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 10
- 229910052760 oxygen Inorganic materials 0.000 claims description 10
- 239000001301 oxygen Substances 0.000 claims description 10
- 238000004519 manufacturing process Methods 0.000 claims description 7
- 230000001590 oxidative effect Effects 0.000 claims description 4
- 238000000137 annealing Methods 0.000 claims description 3
- 239000010410 layer Substances 0.000 description 150
- 229920002120 photoresistant polymer Polymers 0.000 description 26
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 22
- 239000003989 dielectric material Substances 0.000 description 20
- 230000008021 deposition Effects 0.000 description 17
- 238000001020 plasma etching Methods 0.000 description 17
- 238000005229 chemical vapour deposition Methods 0.000 description 15
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 13
- 239000007789 gas Substances 0.000 description 11
- 230000015572 biosynthetic process Effects 0.000 description 9
- 238000005137 deposition process Methods 0.000 description 9
- 239000002019 doping agent Substances 0.000 description 8
- 230000003647 oxidation Effects 0.000 description 8
- 238000007254 oxidation reaction Methods 0.000 description 8
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 8
- 238000000231 atomic layer deposition Methods 0.000 description 7
- 229910052581 Si3N4 Inorganic materials 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- 150000002500 ions Chemical class 0.000 description 6
- 150000004767 nitrides Chemical class 0.000 description 6
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 6
- 238000012876 topography Methods 0.000 description 6
- 238000010884 ion-beam technique Methods 0.000 description 5
- 238000000608 laser ablation Methods 0.000 description 5
- 238000005240 physical vapour deposition Methods 0.000 description 5
- 238000005520 cutting process Methods 0.000 description 4
- 239000012535 impurity Substances 0.000 description 4
- 238000005468 ion implantation Methods 0.000 description 4
- 229910052814 silicon oxide Inorganic materials 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- -1 tetrasilane Chemical compound 0.000 description 4
- 238000001039 wet etching Methods 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 3
- 229910004298 SiO 2 Inorganic materials 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 239000013078 crystal Substances 0.000 description 3
- 238000001704 evaporation Methods 0.000 description 3
- 230000008020 evaporation Effects 0.000 description 3
- 238000011065 in-situ storage Methods 0.000 description 3
- 239000012212 insulator Substances 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 2
- 229910052582 BN Inorganic materials 0.000 description 2
- CFOAUMXQOCBWNJ-UHFFFAOYSA-N [B].[Si] Chemical compound [B].[Si] CFOAUMXQOCBWNJ-UHFFFAOYSA-N 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- 235000011114 ammonium hydroxide Nutrition 0.000 description 2
- 239000000969 carrier Substances 0.000 description 2
- 238000000224 chemical solution deposition Methods 0.000 description 2
- OXTURSYJKMYFLT-UHFFFAOYSA-N dichlorogermane Chemical compound Cl[GeH2]Cl OXTURSYJKMYFLT-UHFFFAOYSA-N 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 230000003071 parasitic effect Effects 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- MUDDKLJPADVVKF-UHFFFAOYSA-N trichlorogermane Chemical compound Cl[GeH](Cl)Cl MUDDKLJPADVVKF-UHFFFAOYSA-N 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- 229910021193 La 2 O 3 Inorganic materials 0.000 description 1
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 239000004952 Polyamide Substances 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 229910002367 SrTiO Inorganic materials 0.000 description 1
- 229910004491 TaAlN Inorganic materials 0.000 description 1
- 229910004166 TaN Inorganic materials 0.000 description 1
- 229910010037 TiAlN Inorganic materials 0.000 description 1
- 229910010413 TiO 2 Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- CEPICIBPGDWCRU-UHFFFAOYSA-N [Si].[Hf] Chemical compound [Si].[Hf] CEPICIBPGDWCRU-UHFFFAOYSA-N 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 239000000908 ammonium hydroxide Substances 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 150000001721 carbon Chemical class 0.000 description 1
- 239000002800 charge carrier Substances 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 238000005336 cracking Methods 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- UCMVNBCLTOOHMN-UHFFFAOYSA-N dimethyl(silyl)silane Chemical compound C[SiH](C)[SiH3] UCMVNBCLTOOHMN-UHFFFAOYSA-N 0.000 description 1
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- KCWYOFZQRFCIIE-UHFFFAOYSA-N ethylsilane Chemical compound CC[SiH3] KCWYOFZQRFCIIE-UHFFFAOYSA-N 0.000 description 1
- 239000003574 free electron Substances 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 229910021480 group 4 element Inorganic materials 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- NEXSMEBSBIABKL-UHFFFAOYSA-N hexamethyldisilane Chemical compound C[Si](C)(C)[Si](C)(C)C NEXSMEBSBIABKL-UHFFFAOYSA-N 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 229910010272 inorganic material Inorganic materials 0.000 description 1
- 239000011147 inorganic material Substances 0.000 description 1
- 229910052809 inorganic oxide Inorganic materials 0.000 description 1
- 229920000592 inorganic polymer Polymers 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000007737 ion beam deposition Methods 0.000 description 1
- 229910052741 iridium Inorganic materials 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 1
- 238000002488 metal-organic chemical vapour deposition Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- IQCYANORSDPPDT-UHFFFAOYSA-N methyl(silyl)silane Chemical compound C[SiH2][SiH3] IQCYANORSDPPDT-UHFFFAOYSA-N 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229920000620 organic polymer Polymers 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229920002647 polyamide Polymers 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000004151 rapid thermal annealing Methods 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 238000005546 reactive sputtering Methods 0.000 description 1
- 229910052702 rhenium Inorganic materials 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 238000005389 semiconductor device fabrication Methods 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- FDNAPBUWERUEDA-UHFFFAOYSA-N silicon tetrachloride Chemical compound Cl[Si](Cl)(Cl)Cl FDNAPBUWERUEDA-UHFFFAOYSA-N 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- 229910052718 tin Inorganic materials 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- LXEXBJXDGVGRAR-UHFFFAOYSA-N trichloro(trichlorosilyl)silane Chemical compound Cl[Si](Cl)(Cl)[Si](Cl)(Cl)Cl LXEXBJXDGVGRAR-UHFFFAOYSA-N 0.000 description 1
- ZDHXKXAHOVTTAH-UHFFFAOYSA-N trichlorosilane Chemical compound Cl[SiH](Cl)Cl ZDHXKXAHOVTTAH-UHFFFAOYSA-N 0.000 description 1
- 239000005052 trichlorosilane Substances 0.000 description 1
- VEDJZFSRVVQBIL-UHFFFAOYSA-N trisilane Chemical compound [SiH3][SiH2][SiH3] VEDJZFSRVVQBIL-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7831—Field effect transistors with field effect produced by an insulated gate with multiple gate structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F16/00—Information retrieval; Database structures therefor; File system structures therefor
- G06F16/90—Details of database functions independent of the retrieved data types
- G06F16/95—Retrieval from the web
- G06F16/957—Browsing optimisation, e.g. caching or content distillation
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06Q—INFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
- G06Q30/00—Commerce
- G06Q30/02—Marketing; Price estimation or determination; Fundraising
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06Q—INFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
- G06Q40/00—Finance; Insurance; Tax strategies; Processing of corporate or income taxes
- G06Q40/02—Banking, e.g. interest calculation or account maintenance
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/20—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823431—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H04—ELECTRIC COMMUNICATION TECHNIQUE
- H04L—TRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
- H04L63/00—Network architectures or network communication protocols for network security
- H04L63/08—Network architectures or network communication protocols for network security for authentication of entities
- H04L63/083—Network architectures or network communication protocols for network security for authentication of entities using passwords
-
- H—ELECTRICITY
- H04—ELECTRIC COMMUNICATION TECHNIQUE
- H04L—TRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
- H04L67/00—Network arrangements or protocols for supporting network services or applications
- H04L67/01—Protocols
- H04L67/02—Protocols based on web technology, e.g. hypertext transfer protocol [HTTP]
-
- H—ELECTRICITY
- H04—ELECTRIC COMMUNICATION TECHNIQUE
- H04L—TRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
- H04L67/00—Network arrangements or protocols for supporting network services or applications
- H04L67/50—Network services
- H04L67/56—Provisioning of proxy services
- H04L67/567—Integrating service provisioning from a plurality of service providers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Ceramic Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Business, Economics & Management (AREA)
- Accounting & Taxation (AREA)
- Theoretical Computer Science (AREA)
- Finance (AREA)
- Strategic Management (AREA)
- Development Economics (AREA)
- Computer Networks & Wireless Communication (AREA)
- Signal Processing (AREA)
- General Business, Economics & Management (AREA)
- Economics (AREA)
- Marketing (AREA)
- General Engineering & Computer Science (AREA)
- Databases & Information Systems (AREA)
- Computing Systems (AREA)
- Technology Law (AREA)
- Computer Security & Cryptography (AREA)
- Data Mining & Analysis (AREA)
- Entrepreneurship & Innovation (AREA)
- Game Theory and Decision Science (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Thin Film Transistor (AREA)
Abstract
Description
- HINTERGRUND
- Die vorliegende Offenbarung bezieht sich allgemein auf Halbleitereinheiten. Im Besonderen bezieht sich die vorliegende Offenbarung auf ein Skalieren von Halbleitereinheiten.
- Um integrierte Schaltungen (integrated circuits, ICs) wie zum Beispiel Speicher-, Logik- und sonstige Einheiten mit höherer Integrationsdichte herstellen zu können, als derzeit möglich ist, müssen Möglichkeiten gefunden werden, die Abmessungen von Feldeffekttransistoren (FETs) wie etwa Metalloxidhalbleiter-Feldeffekttransistoren (MOSFETs) und komplementären Metalloxidhalbleitern (complementary metal oxide semiconductor, CMOS) weiter zu verkleinern. Durch Skalieren wird bei Einheiten Kompaktheit erreicht und die Betriebsleistung verbessert, indem die Gesamtabmessungen und Betriebsspannungen der Einheit verringert werden und dabei die elektrischen Eigenschaften der Einheit erhalten werden.
- KURZDARSTELLUNG
- Ein Verfahren zum Fertigen einer Halbleitereinheit wird bereitgestellt, das bei einer Ausführungsform ein Ausbilden eines epitaktischen Halbleitermaterials auf Seitenwänden zumindest einer Finnenstruktur beinhaltet, die sich auf einer dielektrischen Fläche befindet, wobei die zumindest eine Finnenstruktur eine erste Zusammensetzung aufweist, die sich von einer zweiten Zusammensetzung des epitaktischen Halbleitermaterials unterscheidet. Eine Ersatz-Gate-Struktur wird anschließend auf einem Kanalabschnitt der zumindest einen Finnenstruktur ausgebildet. Eine Zwischenebenen-Dielektrikumschicht wird dann über einem freigelegten Abschnitt der zumindest einen Finnenstruktur ausgebildet, wobei die Zwischenebenen-Dielektrikumschicht eine obere Fläche aufweist, die mit einer oberen Fläche der Ersatz-Gate-Struktur koplanar ist. Die Ersatz-Gate-Struktur wird anschließend mit einer Ätzung entfernt, die gegenüber der zumindest einen Finnenstruktur und dem epitaktischen Halbleitermaterial selektiv ist, wobei das Entfernen der Ersatz-Gate-Struktur eine erste Öffnung zu der zumindest einen Finnenstruktur bereitstellt. Ein freigelegter Abschnitt des epitaktischen Halbleitermaterials wird mit einer anisotropen Ätzung entfernt, um eine zweite Öffnung bereitzustellen, die auf der dielektrischen Fläche endet. Eine funktionale Gate-Struktur wird ausgebildet, die zumindest einen Abschnitt der ersten Öffnung und der zweiten Öffnung füllt.
- Bei einer weiteren Ausführungsform wird ein Verfahren zum Fertigen einer Halbleitereinheit bereitgestellt, das ein Ausbilden eines epitaktischen Halbleitermaterials auf Seitenwänden zumindest einer Finnenstruktur beinhaltet, die sich auf einer dielektrischen Fläche befindet, wobei die zumindest eine Finnenstruktur eine erste Zusammensetzung aufweist, die sich von einer zweiten Zusammensetzung des epitaktischen Halbleitermaterials unterscheidet. Eine Ersatz-Gate-Struktur wird anschließend auf einem Kanalabschnitt der zumindest einen Finnenstruktur ausgebildet. Eine Zwischenebenen-Dielektrikumschicht wird dann über einem freigelegten Abschnitt der zumindest einen Finnenstruktur ausgebildet, wobei die Zwischenebenen-Dielektrikumschicht eine obere Fläche aufweist, die mit einer oberen Fläche der Ersatz-Gate-Struktur koplanar ist. Die Ersatz-Gate-Struktur wird anschließend mit einer Ätzung entfernt, die gegenüber der zumindest einen Finnenstruktur und dem epitaktischen Halbleitermaterial selektiv ist, wobei das Entfernen der Ersatz-Gate-Struktur eine erste Öffnung zu der zumindest einen Finnenstruktur bereitstellt. Ein freigelegter Abschnitt des epitaktischen Halbleitermaterials wird mit einer anisotropen Ätzung entfernt, um eine zweite Öffnung bereitzustellen, die auf der dielektrischen Fläche endet. Eine isotrope Ätzung wird auf die zweite Öffnung angewandt, die das epitaktische Halbleitermaterial ätzt und zumindest gegenüber der Zwischenebenen-Dielektrikumschicht und der zumindest einen Finnenstruktur selektiv ist, wobei die isotrope Ätzung eine Breite der zweiten Öffnung vergrößert. Eine konforme dielektrische Schicht mit einer ersten Dielektrizitätskonstante wird auf die zweite Öffnung aufgebracht, worauf eine anisotrope Ätzung folgt. Eine funktionale Gate-Struktur wird ausgebildet, die zumindest einen Abschnitt der ersten Öffnung und der zweiten Öffnung füllt, wobei die funktionale Gate-Struktur ein Gate-Dielektrikum mit einer zweiten Dielektrizitätskonstante beinhaltet, wobei die zweite Dielektrizitätskonstante größer als die erste Dielektrizitätskonstante ist.
- In einem weiteren Aspekt wird eine Halbleitereinheit bereitgestellt, die zumindest zwei Finnenstrukturen und eine Gate-Struktur beinhaltet, die sich auf den zumindest zwei Finnenstrukturen befindet. Die Gate-Struktur beinhaltet zumindest ein High-k-Gate-Dielektrikum, das zumindest mit Seitenwänden der beiden Finnenstrukturen in direktem Kontakt steht, und zumindest einen Gate-Leiter auf dem zumindest einen High-k-Gate-Dielektrikum. Ein dielektrisches Abstandselement erstreckt sich von einer ersten Finnenstruktur zu einer angrenzenden Finnenstruktur und weist eine obere Fläche auf, die im Wesentlichen mit einer oberen Fläche der zumindest zwei Finnenstrukturen koplanar ist. Das dielektrische Abstandselement weist eine Dielektrizitätskonstante auf, die kleiner als die Dielektrizitätskonstante des High-k-Gate-Dielektrikums ist. Das dielektrische Abstandselement kann auch mit dem zumindest einen High-k-Gate-Dielektrikum der Gate-Struktur in direktem Kontakt stehen. Ein epitaktisches Halbleitermaterial steht mit den zumindest zwei Finnenstrukturen in direktem Kontakt und ist durch das dielektrische Abstandselement von der Gate-Struktur getrennt.
- Bei einer noch weiteren Ausführungsform wird ein Verfahren zum Fertigen einer Halbleitereinheit bereitgestellt, das ein epitaktisches Ausbilden eines Opferhalbleitermaterials auf zumindest zwei Finnenstrukturen beinhaltet. Das Opferhalbleitermaterial kann sich von einer ersten Seitenwand einer ersten Finnenstruktur zu einer zweiten Seitenwand einer angrenzenden Finnenstruktur der zumindest zwei Finnenstrukturen erstrecken. Eine Ersatz-Gate-Struktur wird auf einem Kanalabschnitt jeder der zumindest zwei Finnenstrukturen ausgebildet. Das Opferhalbleitermaterial kann selektiv gegenüber zumindest der Ersatz-Gate-Struktur und den zumindest zwei Finnenstrukturen anisotrop geätzt werden, wobei sich ein verbleibender Abschnitt des Opferhalbleitermaterials unter der Ersatz-Gate-Struktur befindet. Ein dielektrisches Abstandselement wird auf den Seitenwänden der Ersatz-Gate-Struktur und des verbleibenden Abschnitts des Opferhalbleitermaterials ausgebildet. Die Ersatz-Gate-Struktur und das verbleibende Halbleitermaterial können entfernt werden, um eine Öffnung zu einem Kanalabschnitt zu jeder der zumindest zwei Finnenstrukturen bereitzustellen. Eine funktionale Gate-Struktur kann in der Öffnung zu dem Kanalabschnitt der zumindest zwei Finnenstrukturen ausgebildet werden.
- In einem weiteren Aspekt wird eine Halbleitereinheit bereitgestellt, die zumindest zwei Finnenstrukturen auf einem Substrat und eine funktionale Gate-Struktur beinhaltet, die sich auf den zumindest zwei Finnenstrukturen befindet. Die funktionale Gate-Struktur beinhaltet zumindest ein Gate-Dielektrikum, das zumindest mit den Seitenwänden der beiden Finnenstrukturen in direktem Kontakt steht, und zumindest einen Gate-Leiter auf dem zumindest einen Gate-Dielektrikum. Die Seitenwand der funktionalen Gate-Struktur ist im Wesentlichen senkrecht zu der oberen Fläche der dielektrischen Fläche, wobei sich die Ebene, die durch die Seitenwand der funktionalen Gate-Struktur definiert wird, und eine Ebene, die durch eine obere Fläche der Substratfläche definiert wird, in einem Winkel von 90° +/– 5° schneiden. Ein epitaktisches Halbleitermaterial steht mit den zumindest zwei Finnenstrukturen in direktem Kontakt.
- Bei einer weiteren Ausführungsform wird ein Verfahren zum Ausbilden einer Halbleitereinheit bereitgestellt, das ein Ausbilden zumindest zweier Finnenstrukturen, die aus einem Halbleitermaterial bestehen, auf einem Substrat und ein epitaktisches Ausbilden eines Opferhalbleitermaterials eines zweiten Halbleitermaterials auf den zumindest zwei Finnenstrukturen beinhaltet. Das Opferhalbleitermaterial erstreckt sich von einer ersten Seitenwand einer ersten Finnenstruktur zu einer zweiten Seitenwand einer angrenzenden Finnenstruktur der zumindest zwei Finnenstrukturen. Eine Ersatz-Gate-Struktur wird auf einem Kanalabschnitt jeder der zumindest zwei Finnenstrukturen ausgebildet. Das Opferhalbleitermaterial wird selektiv gegenüber zumindest der Ersatz-Gate-Struktur und den zumindest zwei Finnenstrukturen anisotrop geätzt, wobei sich ein verbleibender Abschnitt des Opferhalbleitermaterials unter der Ersatz-Gate-Struktur befindet. Die zumindest zwei Finnenstrukturen und der verbleibende Abschnitt des Opferhalbleitermaterials werden anschließend oxidiert, um ein erstes Oxid auf dem verbleibenden Abschnitt des Opferhalbleitermaterials und ein zweites Oxid auf den zumindest zwei Finnenstrukturen auszubilden. Die erste Dicke des ersten Oxids ist größer als die zweite Dicke des zweiten Oxids. Das zweite Oxid kann anschließend entfernt werden. Eine Zwischenebenen-Dielektrikumschicht wird dann über einem freigelegten Abschnitt der zumindest einen Finnenstruktur ausgebildet, wobei die Zwischenebenen-Dielektrikumschicht eine obere Fläche aufweist, die mit einer oberen Fläche der Ersatz-Gate-Struktur koplanar ist. Die Ersatz-Gate-Struktur und das verbleibende Halbleitermaterial werden entfernt, um eine Öffnung zu einem Kanalabschnitt zu jeder der zumindest zwei Finnenstrukturen bereitzustellen. Eine funktionale Gate-Struktur wird dann in der Öffnung zu dem Kanalabschnitt der zumindest zwei Finnenstrukturen ausgebildet.
- In einem weiteren Aspekt wird eine Halbleitereinheit bereitgestellt, die bei einer Ausführungsform zumindest zwei Finnenstrukturen und eine Gate-Struktur beinhaltet, die sich auf den zumindest zwei Finnenstrukturen befindet. Die Gate-Struktur beinhaltet zumindest ein Gate-Dielektrikum, das zumindest mit den Seitenwänden der beiden Finnenstrukturen in direktem Kontakt steht, und zumindest einen Gate-Leiter auf dem zumindest einen Gate-Dielektrikum. Ein dielektrisches Abstandselement aus einem Germanium enthaltenden Oxid erstreckt sich von einer ersten Finnenstruktur zu einer angrenzenden Finnenstruktur und weist eine obere Fläche auf, die im Wesentlichen mit einer oberen Fläche der zumindest zwei Finnenstrukturen koplanar ist. Das dielektrische Abstandselement steht mit dem zumindest einen Dielektrikum der Gate-Struktur in direktem Kontakt. Ein epitaktisches Halbleitermaterial kann mit den zumindest zwei Finnenstrukturen in direktem Kontakt stehen und durch das dielektrische Abstandselement von der Gate-Struktur getrennt sein.
- BESCHREIBUNG VERSCHIEDENER ANSICHTEN DER ZEICHNUNGEN
- Die folgende ausführliche Beschreibung, die als Beispiel dienen und die vorliegende Offenbarung nicht ausschließlich darauf beschränken soll, ist am besten in Verbindung mit den beigefügten Zeichnungen verständlich, wobei gleiche Bezugszeichen gleiche Elemente und Teile kennzeichnen, in denen:
-
1 eine perspektivische Draufsicht auf vier Finnenstrukturen, die sich auf einer Substratfläche befinden, gemäß einer Ausführungsform der vorliegenden Offenbarung ist. -
2 eine seitliche Querschnittsansicht über die in1 dargestellten Finnenstrukturen entlang einer Linie a-a gemäß einer Ausführungsform der vorliegenden Offenbarung ist. -
3A eine seitliche Querschnittsansicht über die in2 dargestellten Finnenstrukturen gemäß einer Ausführungsform der vorliegenden Offenbarung ist, die ein Ausbilden eines epitaktischen Halbleitermaterials auf den Seitenwänden der Finnenstrukturen darstellt, die sich auf der Substratfläche befinden, wobei die Finnenstrukturen eine erste Zusammensetzung aufweisen, die sich von einer zweiten Zusammensetzung des epitaktischen Halbleitermaterials unterscheidet. -
3B eine Draufsicht auf die in3A dargestellten Finnenstrukturen ist, wobei sich das epitaktische Halbleitermaterial zwischen angrenzenden Finnenstrukturen befindet. -
4A eine seitliche Querschnittsansicht über die Finnenstruktur (entlang der in3B dargestellten Schnittlinie a-a) gemäß einer Ausführungsform der vorliegenden Offenbarung ist, die ein Ausbilden eines Ersatz-Gate-Stapels auf den in3A dargestellten Finnenstrukturen darstellt. -
4B eine seitliche Querschnittsansicht durch die eine der Finnenstrukturen (entlang einer in3B dargestellten Schnittlinie b-b) gemäß einer Ausführungsform der vorliegenden Offenbarung ist, die ein Strukturieren des in4A dargestellten Ersatz-Gate-Stapels darstellt, um eine Ersatz-Gate-Struktur auszubilden. -
4C eine seitliche Querschnittsansicht durch das epitaktische Halbleitermaterial (entlang einer in3B dargestellten Schnittlinie c-c) der in4A dargestellten Struktur gemäß einer Ausführungsform der vorliegenden Offenbarung ist. -
5A eine seitliche Querschnittsansicht durch eine der Finnenstrukturen (entlang der in3B dargestellten Schnittlinie b-b) gemäß einer Ausführungsform der vorliegenden Offenbarung ist, die ein Ausbilden eines Zwischenebenendielektrikums auf der in4B dargestellten Struktur darstellt. -
5B eine seitliche Querschnittsansicht durch das epitaktische Halbleitermaterial (entlang der in3B dargestellten Schnittlinie c-c) gemäß einer Ausführungsform der vorliegenden Offenbarung ist, die ein Ausbilden eines Zwischenebenendielektrikums auf der in4C dargestellten Struktur darstellt. -
6A eine seitliche Querschnittsansicht durch eine der Finnenstrukturen (entlang der in3B dargestellten Schnittlinie b-b) gemäß einer Ausführungsform der vorliegenden Offenbarung ist, die ein Entfernen der Ersatz-Gate-Struktur von der in5A dargestellten Struktur darstellt, um eine erste Öffnung bereitzustellen. -
6B eine seitliche Querschnittsansicht durch das epitaktische Halbleitermaterial (entlang der in3B dargestellten Schnittlinie c-c) gemäß einer Ausführungsform der vorliegenden Offenbarung ist, die ein Entfernen der Ersatz-Gate-Struktur von der in5B dargestellten Struktur ist. -
7 eine seitliche Querschnittsansicht durch das epitaktische Halbleitermaterial (entlang der in3B dargestellten Schnittlinie c-c) gemäß einer Ausführungsform der vorliegenden Offenbarung ist, die ein Entfernen eines freigelegten Abschnitts des epitaktischen Halbleitermaterials von der in6B dargestellten Struktur mit einer anisotropen Ätzung darstellt, um eine zweite Öffnung bereitzustellen, die auf der dielektrischen Fläche endet. -
8A eine seitliche Querschnittsansicht über die Finnenstrukturen (entlang der in3B dargestellten Schnittlinie a-a) gemäß einer Ausführungsform der vorliegenden Offenbarung ist, die ein Ausbilden einer funktionalen Gate-Struktur in der ersten Öffnung und der zweiten Öffnung zu den Finnenstrukturen darstellt. -
8B eine seitliche Querschnittsansicht durch eine der Finnenstrukturen (entlang der in3B dargestellten Schnittlinie b-b) der in8A dargestellten Struktur gemäß einer Ausführungsform der vorliegenden Offenbarung ist. -
8C eine seitliche Querschnittsansicht durch das epitaktische Halbleitermaterial (entlang der in3B dargestellten Schnittlinie c-c) der in8A dargestellten Struktur gemäß einer Ausführungsform der vorliegenden Offenbarung ist. -
9 eine seitliche Querschnittsansicht durch das epitaktische Halbleitermaterial (entlang der in3B dargestellten Schnittlinie c-c) gemäß einer weiteren Ausführungsform der vorliegenden Offenbarung ist, die eine isotrope Ätzung, die auf die in7 dargestellte zweite Öffnung angewandt wird, wobei die isotrope Ätzung eine Breite der zweiten Öffnung vergrößert, und ein Abscheiden einer konformen dielektrischen Schicht mit einer ersten Dielektrizitätskonstante innerhalb der zweiten Öffnung darstellt. -
10 eine seitliche Querschnittsansicht durch das epitaktische Halbleitermaterial (entlang der in3B dargestellten Schnittlinie c-c) gemäß einer weiteren Ausführungsform der vorliegenden Offenbarung ist, die ein Ausbilden einer funktionalen Gate-Struktur darstellt, die zumindest einen Abschnitt der ersten Öffnung und der zweiten Öffnung füllt, die in9 dargestellt sind, wobei die funktionale Gate-Struktur ein Gate-Dielektrikum mit einer zweiten Dielektrizitätskonstante beinhaltet, die größer als die des ersten Gate-Dielektrikums ist. -
11 eine seitliche Querschnittsansicht durch das Opferhalbleitermaterial (entlang der in3B dargestellten Schnittlinie c-c) ist, die eine weitere Ausführungsform der vorliegenden Offenbarung darstellt, die ein anisotropes Ätzen des in4C dargestellten Opferhalbleitermaterials selektiv gegenüber zumindest der Ersatz-Gate-Struktur und den Finnenstrukturen beinhaltet, wobei sich ein verbleibender Abschnitt des Opferhalbleitermaterials unter der Ersatz-Gate-Struktur befindet. -
12A eine seitliche Querschnittsansicht durch das Opferhalbleitermaterial (entlang der Schnittlinie c-c, wie in3B dargestellt) gemäß einer Ausführungsform der vorliegenden Offenbarung ist, die ein Ausbilden einer konformen dielektrischen Schicht auf Flächen der Ersatz-Gate-Struktur, der Finnenstrukturen und des verbleibenden Abschnitts des Opferhalbleitermaterials der in11 dargestellten Struktur darstellt. -
12B eine seitliche Querschnittsansicht durch eine Finnenstruktur der in12A dargestellten Struktur (entlang der Schnittlinie b-b, wie in3B dargestellt) gemäß einer Ausführungsform der vorliegenden Offenbarung ist. -
13A eine seitliche Querschnittsansicht durch das Opferhalbleitermaterial (entlang der Schnittlinie c-c, wie in3B dargestellt) ist, die eine Ausführungsform eines anisotropen Ätzens der in12A dargestellten konformen dielektrischen Schicht darstellt, wobei sich ein erster verbleibender Abschnitt der konformen dielektrischen Schicht auf den Seitenwänden der Ersatz-Gate-Struktur, den Seitenwänden der Finnenstrukturen und Seitenwänden des verbleibenden Abschnitts des Opferhalbleitermaterials befindet. -
13B eine seitliche Querschnittsansicht durch eine Finnenstruktur der in13A dargestellten Struktur (entlang der Schnittlinie b-b, wie in3B dargestellt) gemäß einer Ausführungsform der vorliegenden Offenbarung ist. -
14 eine perspektivische Seitenansicht einer Vielzahl von Finnenstrukturen (von Punkt D in Richtung des Endes der Finnenstrukturen gesehen, wie in3B dargestellt) ist, die ein Entfernen des ersten verbleibenden Abschnitts der konformen dielektrischen Schicht darstellt, die sich auf den Seitenwänden der Finnenstrukturen befindet, wobei ein zweiter verbleibender Abschnitt der konformen dielektrischen Schicht ein dielektrisches Abstandselement bereitstellt, das sich auf den Seitenwänden der Ersatz-Gate-Struktur und den Seitenwänden des verbleibenden Abschnitts des Opferhalbleitermaterials befindet. -
15 eine perspektivische Seitenansicht einer Vielzahl von Finnenstrukturen (von Punkt d in Richtung des Endes der Finnenstrukturen gesehen, wie in3B dargestellt) ist, die ein Ausbilden eines Source- und Drain-Bereichs aus einem epitaktischen Halbleitermaterial darstellt, der sich von der ersten Seitenwand einer ersten Finnenstruktur zu einer zweiten Seitenwand einer angrenzenden Finnenstruktur erstreckt. -
16A eine seitliche Querschnittsansicht durch die Finnenstruktur (entlang der Schnittlinie b-b, wie in3B dargestellt) ist, die eine Ausführungsform eines Ausbildens einer Zwischenebenen-Dielektrikumschicht über einem freigelegten Abschnitt der Finnenstrukturen und eines Entfernens der Ersatz-Gate-Struktur und des verbleibenden Abschnitts des Opferhalbleitermaterials selektiv gegenüber den Finnenstrukturen, der dielektrischen Fläche und der Zwischenebenen-Dielektrikumschicht darstellt. -
16B eine seitliche Querschnittsansicht der in16A dargestellten Struktur durch den Abschnitt, der zuvor durch das Opferhalbleitermaterial eingenommen wurde, (entlang der Schnittlinie c-c, wie in3B dargestellt) gemäß einer Ausführungsform der vorliegenden Offenbarung ist. -
17A eine seitliche Querschnittsansicht durch die Finnenstruktur (entlang der Schnittlinie b-b, wie in3B dargestellt) ist, die ein Ausbilden einer funktionalen Gate-Struktur in der ersten und der zweiten Öffnung darstellt, die in den16A und16B dargestellt sind, das durch Entfernen der Ersatz-Gate-Struktur bereitgestellt wird. -
17B eine seitliche Querschnittsansicht der in17A dargestellten Struktur durch den Abschnitt, der zuvor durch das Opferhalbleitermaterial eingenommen wurde, (entlang der Schnittlinie c-c, wie in3B dargestellt) gemäß einer Ausführungsform der vorliegenden Offenbarung ist. -
18A eine seitliche Querschnittsansicht durch das Opferhalbleitermaterial (entlang der Schnittlinie c-c, wie in3B dargestellt) ist, die eine weitere Ausführungsform der vorliegenden Offenbarung darstellt, die ein Oxidieren des verbleibenden Abschnitts des in11 dargestellten Opferhalbleitermaterials beinhaltet, um ein erstes Oxid auszubilden, das eine größere Dicke als ein zweites Oxid aufweist, das sich auf den Finnenstrukturen befindet. -
18B eine seitliche Querschnittsansicht der in18A dargestellten Struktur durch eine der Finnenstrukturen (entlang der Schnittlinie b-b, wie in3B dargestellt) gemäß einer Ausführungsform der vorliegenden Offenbarung ist, die ein zweites Oxid darstellt, das auf den Finnenstrukturen ausgebildet ist. -
19 eine seitliche Querschnittsansicht durch eine der Finnenstrukturen (entlang der Schnittlinie b-b, wie in3B dargestellt) ist, die ein Entfernen des zweiten Oxids von der in18B dargestellten Struktur darstellt. -
20A eine seitliche Querschnittsansicht durch eine der Finnenstrukturen (entlang der Schnittlinie b-b, wie in3B dargestellt) ist, die eine Ausführungsform eines Ausbildens eines Source- und Drain-Bereichs aus einem epitaktischen Halbleitermaterial, der sich von der ersten Seitenwand einer ersten Finnenstruktur zu einer zweiten Seitenwand einer angrenzenden Finnenstruktur erstreckt, und ein Ausbilden einer Zwischenebenen-Dielektrikumschicht über einem freigelegten Abschnitt der in19 dargestellten Finnenstrukturen darstellt. -
20B eine seitliche Querschnittsansicht durch das Opferhalbleitermaterial der in20A dargestellten Struktur (entlang der Schnittlinie c-c, wie in3B dargestellt) ist. -
21A eine seitliche Querschnittsansicht durch die Finnenstruktur (entlang der Schnittlinie B-B, wie in3B dargestellt) ist, die eine Ausführungsform eines Entfernens der Ersatz-Gate-Struktur und des verbleibenden Abschnitts des Opferhalbleitermaterials, die in den20a und20b dargestellt sind, und eines Ausbildens einer funktionalen Gate-Struktur darstellt. -
21B eine seitliche Querschnittsansicht der in21A dargestellten Struktur durch den Abschnitt, der zuvor durch das Opferhalbleitermaterial eingenommen wurde, (entlang der Schnittlinie c-c, wie in3B dargestellt) ist. - AUSFÜHRLICHE BESCHREIBUNG
- Hierin werden ausführliche Ausführungsformen der Verfahren und Strukturen der vorliegenden Offenbarung beschrieben; es versteht sich jedoch, dass die offenbarten Ausführungsformen lediglich zur Veranschaulichung der offenbarten Verfahren und Strukturen dienen, die in verschiedenen Formen verkörpert sein können. Darüber hinaus soll jedes der in Verbindung mit den verschiedenen Ausführungsformen der Offenbarung genannten Beispiele zur Veranschaulichung dienen und nicht beschränkend sein. Wenn in der Beschreibung auf „eine Ausführungsform”, „eine beispielhafte Ausführungsform” usw. Bezug genommen wird, weist dies darauf hin, dass die beschriebene Ausführungsform ein bestimmtes Merkmal, eine bestimmte Struktur oder Eigenschaft beinhalten kann, jedoch muss nicht jede Ausführungsform das bestimmte Merkmal, die bestimmte Struktur oder Eigenschaft unbedingt beinhalten.
- Des Weiteren sind die Figuren nicht unbedingt maßstabsgetreu, einige Merkmale können vergrößert sein, um Einzelheiten von bestimmten Bestandteilen darzustellen. Daher sind spezifische strukturelle und funktionale Einzelheiten, die hierin offenbart werden, nicht als beschränkend, sondern lediglich als repräsentative Grundlage auszulegen, um einem Fachmann zu vermitteln, die Verfahren und Strukturen der vorliegenden Offenbarung auf unterschiedliche Weise einzusetzen. Für Beschreibungszwecke sollen sich im Folgenden die Begriffe „obere(r, s)”, „untere(r, s)”, „Oberseite”, „Unterseite” und Ableitungen davon so auf die offenbarten Strukturen beziehen, wie sie in den Figuren der Zeichnungen ausgerichtet sind. Die Begriffe „darüberliegend” und „positioniert auf” bedeuten, dass sich ein erstes Element wie zum Beispiel eine erste Struktur auf einem zweiten Element wie zum Beispiel einer zweiten Struktur befindet, wobei sich dazwischenliegende Elemente wie zum Beispiel eine Grenzflächenstruktur, z. B. eine Grenzflächenschicht, zwischen dem ersten Element und dem zweiten Element befinden können. Der Begriff „direkter Kontakt” bedeutet, dass ein erstes Element wie zum Beispiel eine erste Struktur und ein zweites Element wie zum Beispiel eine zweite Struktur ohne jegliche dazwischenliegende leitende, isolierende oder Halbleiterschichten an der Grenzfläche der beiden Elemente verbunden sind.
- Die hierin offenbarten Verfahren und Strukturen beziehen sich auf dreidimensionale Halbleitereinheiten wie zum Beispiel FinFET-Halbleitereinheiten und Tri-Gate-Halbleitereinheiten. FinFET- und Tri-Gate-Halbleitereinheiten weisen üblicherweise drei Anschlüsse auf, d. h. eine funktionale Gate-Struktur, einen Source-Bereich und einen Drain-Bereich. Die funktionale Gate-Struktur steuert einen Ausgangsstrom, d. h. einen Fluss von Ladungsträgern in dem Kanalbereich. Bei dem Kanalbereich handelt es sich um den Bereich zwischen dem Source-Bereich und dem Drain-Bereich des Transistors, der leitfähig wird, wenn der Transistor eingeschaltet wird. Üblicherweise und in einem FinFET steht die funktionale Gate-Struktur in direktem Kontakt mit der Seitenwand einer Finnenstruktur, die den Kanalbereich der Halbleitereinheit bereitstellt. Bei einer Finnenstruktur handelt es sich um eine Insel aus einem Halbleitermaterial, die eine Höhe aufweist, die größer als ihre Breite ist. Eine Tri-Gate-Halbleitereinheit ähnelt einer FinFET-Halbleitereinheit. Die Tri-Gate-Halbleitereinheit unterscheidet sich von einer FinFET-Halbleitereinheit, da die funktionale Gate-Struktur der Tri-Gate-Halbleitereinheit in direktem Kontakt mit der oberen Fläche und Seitenwandflächen der Finnenstruktur steht, die den Kanalbereich enthalten. Bei einer FinFET-Halbleitereinheit verhindert eine dielektrische Finnenabdeckung, die sich auf der Finnenstruktur befindet, den direkten Kontakt der funktionalen Gate-Struktur mit der oberen Fläche der Finnenstruktur, die den Kanal enthält.
- FinFET- und Tri-Gate-Halbleitereinheiten können für eine zunehmende Skalierung von Halbleitereinheiten geeignet sein, die 3D-Geometrie von FinFET- und Tri-Gate-Halbleitereinheiten stellt jedoch verschiedene Herausforderungen bei der Integration dar. Beispielsweise ist festgestellt worden, dass es aufgrund von Unterschieden in der Topographie dieser Einheiten schwierig ist, einen geraden Gate-Leiter mit einer selben Gate-Länge an der Oberseite und der Unterseite der Einheit zu definieren. Des Weiteren bedeuten Ersatz-Gate-Verfahren zum Ausbilden der funktionalen Gate-Struktur zu FinFET- und Tri-Gate-Halbleitereinheiten zusätzliche Herausforderungen. Beispielsweise kann es in einigen Fällen schwierig sein, die Bestandteile der Ersatz-Gate-Struktur aus dem Zwischenraum zwischen den Finnenstrukturen zu entfernen, und es kann schwierig sein, ein epitaktisches Halbleitermaterial in den Source- und Drain-Bereichen auszubilden, um die Finnenstrukturen zusammenzuführen.
- Es ist festgestellt worden, dass die oben genannten Nachteile aus einem Unterschied in der Topographie zwischen der oberen Fläche der Finnenstruktur und dem Substrat resultieren, z. B. der dielektrischen Fläche, auf der die Finnenstruktur ausgebildet ist. Bei einigen Ausführungsformen bewältigen die Verfahren und Strukturen der vorliegenden Offenbarung die oben genannten Nachteile durch Ausbilden eines epitaktischen Halbleitermaterials, bei dem es sich um ein Opfermaterial handeln kann, zwischen den Finnenstrukturen, bevor die Ersatz-Gate-Struktur ausgebildet wird. Das epitaktische Halbleitermaterial weist eine obere Fläche auf, die mit der oberen Fläche der Finnenstrukturen im Wesentlichen koplanar ist. Daher wird eine im Wesentlichen planare Fläche, d. h. eine Fläche, die minimierte Topographieunterschiede aufweist, für einen nachfolgenden Ersatz-Gate-Prozess bereitgestellt.
- Die
1 bis8C stellen eine Ausführungsform eines Verfahrens zum Fertigen einer Halbleitereinheit dar, das ein Ausbilden eines epitaktischen Halbleitermaterials10 auf den Seitenwänden S1 zumindest einer Finnenstruktur5 , die sich auf einer dielektrischen Fläche4 befindet, beinhaltet, wobei die zumindest eine Finnenstruktur5 eine erste Zusammensetzung aufweist, die sich von einer zweiten Zusammensetzung des epitaktischen Halbleitermaterials10 unterscheidet. Eine Ersatz-Gate-Struktur15 wird anschließend auf einem Kanalabschnitt der zumindest einen Finnenstruktur5 ausgebildet. Eine Zwischenebenen-Dielektrikumschicht wird dann über einem freigelegten Abschnitt der zumindest einen Finnenstruktur5 ausgebildet, wobei die Zwischenebenen-Dielektrikumschicht20 eine obere Fläche aufweist, die mit einer oberen Fläche der Ersatz-Gate-Struktur15 koplanar ist. Die Ersatz-Gate-Struktur15 wird anschließend mit einer Ätzung entfernt, die zumindest gegenüber der zumindest einen Finnenstruktur5 und dem epitaktischen Halbleitermaterial10 selektiv ist, wobei das Entfernen der Ersatz-Gate-Struktur15 eine erste Öffnung25 zu der zumindest einen Finnenstruktur5 bereitstellt. Ein freigelegter Abschnitt des epitaktischen Halbleitermaterials10 wird mit einer anisotropen Ätzung entfernt, um eine zweite Öffnung30 bereitzustellen, die auf der dielektrischen Fläche4 endet. Eine funktionale Gate-Struktur35 kann anschließend ausgebildet werden, die zumindest einen Abschnitt der ersten Öffnung25 und der zweiten Öffnung30 füllt. Die Einzelheiten dieses Verfahrens werden nun ausführlicher beschrieben. - Die
1 und2 stellen eine Ausführungsform eines Ausbildens zumindest einer Finnenstruktur5 auf einer Substratfläche4 dar. Bei der in den1 und2 dargestellten Ausführungsform handelt es sich bei der Substratfläche4 , auf der die zumindest eine Finnenstruktur5 ausgebildet ist, um eine dielektrische Fläche, die durch die vergrabene dielektrische Schicht eines Halbleiter-auf-Isolator(SOI)-Substrats bereitgestellt werden kann. Bei einigen Ausführungsformen muss es sich bei der Substratfläche4 nicht um ein dielektrisches Material handeln. Beispielsweise kann die Substratfläche4 bei einigen Ausführungsformen, die ein Vollhalbleitersubstrat einsetzen, aus einem Halbleitermaterial wie etwa Silicium bestehen. Im Folgenden wird die Substratfläche4 als dielektrische Fläche4 bezeichnet, um mit den in den beigefügten Figuren dargestellten Ausführungsformen im Einklang zu sein. - Im Besonderen ist
1 eine perspektivische Draufsicht auf vier Finnenstrukturen5 (im Folgenden als Finnenstrukturen5 bezeichnet), die sich auf einer dielektrischen Fläche4 befinden, in der eine Schnittlinie a-a über die Finnenstrukturen5 verläuft und eine Schnittlinie b-b durch eine der Finnenstrukturen5 verläuft. Der Ausdruck „über die Finnenstrukturen”, wie er überall in der vorliegenden Offenbarung verwendet wird, entspricht der Schnittlinie a-a in1 . Der Ausdruck „durch die Finnenstrukturen”, wie er überall in der vorliegenden Offenbarung verwendet wird, entspricht der Schnittlinie b-b in1 .2 ist eine seitliche Querschnittsansicht über die zumindest eine Finnenstruktur5 , d. h. über die in1 dargestellte Schnittlinie a-a, wo Finnen auf einer dielektrischen Fläche ausgebildet werden. Diese Finnen können durch Strukturieren und Ätzen der Halbleiterschicht auf einem Halbleiter-auf-Isolator(SOI)-Substrat ausgebildet werden. Alternativ können bei den Ausführungsformen, bei denen die Finnenstrukturen auf einem (in den beigefügten Figuren nicht dargestellten) Vollhalbleitersubstrat ausgebildet werden, angrenzende Finnenstrukturen durch Bereiche eines dielektrischen Materials gegeneinander isoliert werden, das zwischen den Finnenstrukturen ausgebildet wird. - Unter Bezugnahme auf
2 können die Finnenstrukturen5 und die dielektrische Fläche4 , auf der sich die Finnenstrukturen5 befinden, aus einem Halbleiter-auf-Isolator(SOI)-Substrat1 ausgebildet werden. Das SOI-Substrat1 kann eine Basishalbleiterschicht2 und eine obere Halbleiterschicht (die austauschbar als SOI-Schicht bezeichnet wird) beinhalten, die durch eine vergrabene dielektrische Schicht elektrisch gegeneinander isoliert sind. Bei einer Ausführungsform kann das SOI-Substrat1 strukturiert und geätzt werden, um die in2 dargestellte Anfangsstruktur bereitzustellen, bei der die SOI-Schicht die Finnenstrukturen5 bereitstellt und die vergrabene dielektrische Schicht die dielektrische Fläche4 bereitstellt. - Die SOI-Schicht und die Basishalbleiterschicht
2 können von Si, Ge, SiGe, GaAs, InAs, InP, SiCGe, SiC wie auch sonstigen III/V- oder II/VI-Verbindungshalbleitern und deren Legierungen zumindest eines aufweisen. Die SOI-Schicht und die Basishalbleiterschicht2 können dieselben oder unterschiedliche Materialien aufweisen. In einem Beispiel ist die SOI-Schicht monokristallin. Bei dem vergrabenen dielektrischen Material, das die SOI-Schicht und die Basishalbleiterschicht2 trennt, kann es sich um ein kristallines oder ein nichtkristallines Oxid, Nitrid, Oxynitrid oder ein beliebiges anderes geeignetes Isolationsmaterial handeln. Die vergrabene dielektrische Schicht kann eine einzelne Schicht dielektrischen Materials oder mehrere Schichten dielektrischen Materials aufweisen. Die vergrabene dielektrische Schicht kann eine Dicke im Bereich von 5 nm bis 500 nm aufweisen. - Ein Prozessablauf aus Photolithographie und Ätzung kann dazu verwendet werden, die Finnenstrukturen
5 aus dem SOI-Substrat1 bereitzustellen. Im Besonderen und in einem Beispiel wird eine Photolackmaske ausgebildet, die über der SOI-Schicht des SOI-Substrats1 liegt, bei der der Abschnitt der SOI-Schicht, der unter der Photolackmaske liegt, den Halbleiterkörper6 bereitstellt und der Abschnitt der SOI-Schicht, der nicht durch die Photolackmaske geschützt wird, mithilfe eines selektiven Ätzprozesses entfernt wird. Um die Photolackmaske bereitzustellen, wird zunächst eine Photolackschicht auf der SOI-Schicht positioniert. Die Photolackschicht kann durch eine durchgehende Schicht eines Photolackmaterials bereitgestellt werden, die zum Beispiel mithilfe einer Aufschleuderbeschichtung ausgebildet wird. Die durchgehende Schicht des Photolackmaterials wird anschließend mithilfe eines Lithographieprozesses, der ein Belichten des Photolackmaterials mit einem Strahlungsmuster und Entwickeln des belichteten Photolackmaterials mithilfe eines Photolackentwicklers beinhalten kann, strukturiert, um die Photolackmaske bereitzustellen. Im Anschluss an die Ausbildung der Photolackmaske kann ein Ätzprozess die ungeschützten Abschnitte der SOI-Schicht selektiv gegenüber der darunterliegenden vergrabenen dielektrischen Schicht entfernen. Beispielsweise kann das Übertragen des durch den Photolack bereitgestellten Musters auf die SOI-Schicht eine anisotrope Ätzung beinhalten. Bei einem anisotropen Ätzprozess handelt es sich um einen Materialentfernungsprozess, bei dem die Ätzgeschwindigkeit in der Richtung normal zu der zu ätzenden Fläche größer als in der Richtung parallel zu der zu ätzenden Fläche ist. Die anisotrope Ätzung kann eine reaktive Ionenätzung (reactive ion etching, RIE) beinhalten. Zu sonstigen Beispielen für eine anisotrope Ätzung, die an dieser Stelle der vorliegenden Erfindung verwendet werden kann, zählen Ionenstrahlätzen, Plasmaätzen oder Laserablation. - Bei einer Ausführungsform kann eine dielektrische Hartmaskenschicht vor der Ausbildung der Photolackmaske über der SOI-Schicht abgeschieden werden. Die dielektrische Hartmaskenschicht kann aus einem Nitrid oder Oxid bestehen und kann als dielektrische Finnenabdeckung
6 bezeichnet werden. Die dielektrische Hartmaskenschicht und eine zweistufige anisotrope Ätzung können dazu verwendet werden, das Muster von der Photolackmaske auf die SOI-Schicht zu übertragen, um die Finnenstrukturen5 bereitzustellen. Genauer gesagt, im Anschluss an die Ausbildung der Photolackmaske kann die zweistufige anisotrope Ätzung durchgeführt werden, bei der eine erste selektive Ätzung die freigelegten Abschnitte der dielektrischen Hartmaskenschicht entfernt, wobei die Photolackmaske den Abschnitt der dielektrischen Hartmaskenschicht schützt, der sich unter der Photolackmaske befindet, um die dielektrische Finnenabdeckung6 für jede der Finnenstrukturen5 bereitzustellen. Die SOI-Schicht, die sich unter den geschützten verbleibenden Abschnitten der dielektrischen Hartmaskenschicht befindet, stellt die Finnenstrukturen5 der nachfolgend ausgebildeten Einheit bereit. Die erste Stufe der anisotropen Ätzung kann fortdauern, bis der Abschnitt der dielektrischen Hartmaskenschicht, der durch die Photolackmaske freigelegt ist, entfernt wird, um die SOI-Schicht freizulegen. In einer zweiten Stufe der zweistufigen anisotropen Ätzung werden die freigelegten Abschnitte der SOI-Schicht durch eine Ätzchemie entfernt, die das Material der SOI-Schicht selektiv gegenüber der vergrabenen Isolationsschicht, d. h. der dielektrischen Fläche4 , entfernt. Während der zweiten Stufe des Ätzprozesses dient der verbleibende Abschnitt der dielektrischen Hartmaskenschicht als Ätzmaske, die den darunterliegenden Abschnitt der SOI-Schicht schützt, um die Finnenstrukturen5 aus der SOI-Schicht bereitzustellen. Während der zweiten Stufe der anisotropen Ätzung wird der freigelegte Abschnitt der SOI-Schicht entfernt. In einem Beispiel besteht jede der Finnenstrukturen5 aus Silicium (Si), und die dielektrische Finnenabdeckung6 , die sich über jeder der Finnenstrukturen5 befindet, besteht aus Siliciumnitrid oder Siliciumoxid. - Jede der Finnenstrukturen
5 kann eine Höhe H1 im Bereich von 5 nm bis 200 nm aufweisen. Bei einer Ausführungsform kann jede der Finnenstrukturen5 eine Höhe H1 im Bereich von 10 nm bis 100 nm aufweisen. Bei einer weiteren Ausführungsform kann jede der Finnenstrukturen5 eine Höhe H1 im Bereich von 15 nm bis 50 nm aufweisen. Jede der Finnenstrukturen5 kann eine Breite W1 im Bereich von 5 nm bis 50 nm aufweisen. Bei einer weiteren Ausführungsform kann jede der Finnenstrukturen5 eine Breite W1 im Bereich von 8 nm bis 20 nm aufweisen. Angrenzende Finnenstrukturen5 können durch einen Rasterabstand P1 im Bereich von 20 nm bis 100 nm getrennt sein. Bei einer Ausführungsform können angrenzende Finnenstrukturen5 durch einen Rasterabstand P1 im Bereich von 30 nm bis 50 nm getrennt sein. - Es wird darauf hingewiesen, dass, wenngleich die in
2 dargestellte Anfangsstruktur so beschrieben wird, dass sie aus einem SOI-Substrat ausgebildet wird, Ausführungsformen der vorliegenden Offenbarung in Betracht gezogen werden, die ein Vollhalbleitersubstrat einsetzen. Es wird außerdem darauf hingewiesen, dass, wenngleich2 vier Finnenstrukturen5 darstellt, die vorliegende Offenbarung nicht nur auf diese Ausführungsform beschränkt ist, da sich eine beliebige Anzahl von Finnenstrukturen5 auf der dielektrischen Fläche4 befinden kann. -
3A stellt eine Ausführungsform eines Ausbildens eines epitaktischen Halbleitermaterials10 auf den Seitenwänden S1 jeder der Finnenstrukturen5 dar.3A ist eine seitliche Querschnittsansicht über die Finnenstrukturen5 . Bei einem Halbleitermaterial, das als „epitaktisch” beschrieben wird, handelt es sich um ein Halbleitermaterial, das mithilfe eines epitaktischen Aufwachsens und/oder einer epitaktischen Abscheidung ausgebildet wird. Die Begriffe „epitaktisches Aufwachsen und/oder epitaktische Abscheidung” und „epitaktisch ausgebildet und/oder aufgewachsen” bedeuten das Aufwachsen eines Halbleitermaterials auf einer Abscheidungsfläche eines Halbleitermaterials, bei dem das Halbleitermaterial, das aufgewachsen wird, dieselben kristallinen Eigenschaften wie das Halbleitermaterial der Abscheidungsfläche aufweist. In einem epitaktischen Abscheidungsprozess werden die chemischen Reaktanten gesteuert, die durch die Quellgase bereitgestellt werden, und die Systemparameter werden so festgelegt, dass die sich abscheidenden Atome auf der Abscheidungsfläche des Halbleitersubstrats mit genügend Energie auftreffen, um sich auf der Fläche zu bewegen und sich zu der Kristallanordnung der Atome der Abscheidungsfläche zu orientieren. Daher weist ein epitaktisches Halbleitermaterial dieselben kristallinen Eigenschaften wie die Abscheidungsfläche auf, auf der es ausgebildet wird. Beispielsweise nimmt ein epitaktisches Halbleitermaterial, das auf einer {100}-Kristallfläche abgeschieden wird, eine {100}-Orientierung an. Bei einigen Ausführungsformen sind epitaktische Aufwachs- und/oder Abscheidungsprozesse selektiv gegenüber einer Ausbildung auf einer Halbleiterfläche und scheiden kein Material auf dielektrischen Flächen wie zum Beispiel Siliciumoxid- oder Siliciumnitridflächen ab. - Die Finnenstrukturen
5 bestehen üblicherweise aus einem Halbleitermaterial mit einer ersten Zusammensetzung, die sich von einer zweiten Zusammensetzung des epitaktischen Halbleitermaterials10 unterscheidet. Die zweite Zusammensetzung des epitaktischen Halbleitermaterials10 wird üblicherweise so gewählt, dass ein selektives Ätzen zwischen den Finnenstrukturen5 und dem epitaktischen Halbleitermaterial10 ermöglicht wird. So, wie der Begriff hierin verwendet wird, bedeutet „selektiv” in Bezug auf einen Materialentfernungsprozess, dass die Geschwindigkeit einer Materialentfernung bei einem ersten Material größer als die Geschwindigkeit eines Entfernens bei zumindest einem weiteren Material der Struktur ist, auf die der Materialentfernungsprozess angewendet wird. Beispielsweise kann bei einer Ausführungsform eine selektive Ätzung eine Ätzchemie beinhalten, die ein erstes Material selektiv gegenüber einem zweiten Material in einem Verhältnis von 10:1 oder höher entfernt. Bei einer Ausführungsform handelt es sich, wenn die zweite Zusammensetzung des epitaktischen Halbleitermaterials10 ein Germanium enthaltender Halbleiter ist, bei der ersten Zusammensetzung der Finnenstrukturen5 um einen Silicium enthaltenden Halbleiter, der kein Germanium enthält. Beispielsweise kann es sich bei dem Germanium enthaltenden Halbleiter, der das epitaktische Halbleitermaterial10 bereitstellt, um Silicium-Germanium (SiGe) oder Germanium (Ge) handeln, und bei dem Silicium enthaltenden Halbleiter, der die Finnenstrukturen bereitstellt, handelt es sich um Silicium (Si). Bei einer Ausführungsform erstreckt sich das epitaktische Halbleitermaterial10 von der Seitenwand S1 einer Finnenstruktur5 zu der Seitenwand S1 einer angrenzenden Finnenstruktur5 und kann als zusammenführendes epitaktisches Halbleitermaterial bezeichnet werden. - Bei einer Ausführungsform kann das epitaktische Halbleitermaterial
10 aus Germanium (Ge) bestehen. Eine Reihe verschiedener Quellen kann für die Abscheidung von epitaktischem Germanium verwendet werden. Bei einigen Ausführungsformen beinhalten Germanium enthaltende Gasquellen für epitaktisches Aufwachsen German (GeH4), Digerman (Ge2H6), Halogerman, Dichlorgerman, Trichlorgerman, Tetrachlorgerman und Kombinationen davon. - Bei einer noch weiteren Ausführungsform besteht das epitaktische Halbleitermaterial
10 aus einem Germanium enthaltenden Material wie zum Beispiel Silicium-Germanium (SiGe). Eine Reihe verschiedener Quellen kann für die Abscheidung von epitaktischem Silicium-Germanium verwendet werden. Bei einigen Ausführungsformen kann die Gasquelle für die Abscheidung von epitaktischem SiGe ein Gemisch aus Silicium enthaltenden Gasquellen und Germanium enthaltenden Gasquellen beinhalten. Beispielsweise kann eine epitaktische Schicht aus Silicium-Germanium aus der Kombination einer Silicium-Gasquelle, die aus der Gruppe ausgewählt wird, die aus Silan, Disilan, Trisilan, Tetrasilan, Hexachlordisilan, Tetrachlorsilan, Dichlorsilan, Trichlorsilan, Methylsilan, Dimethylsilan, Ethylsilan, Methyldisilan, Dimethyldisilan, Hexamethyldisilan und Kombinationen davon besteht, und einer Germanium-Gasquelle abgeschieden werden, die aus der Gruppe ausgewählt wird, die aus German, Digerman, Halogerman, Dichlorgerman, Trichlorgerman, Tetrachlorgerman und Kombinationen davon besteht. Der Germaniumgehalt der epitaktischen Schicht aus Silicium-Germanium kann im Bereich von 5% bis 90% in Atomgewichts-% liegen. Bei einer weiteren Ausführungsform kann der Germaniumgehalt der epitaktischen Schicht aus Silicium-Germanium im Bereich von 10% bis 40% liegen. - Die Temperatur für den epitaktischen Abscheidungsprozess zum Ausbilden des epitaktischen Halbleitermaterials
10 liegt üblicherweise im Bereich von 550°C bis 900°C. Wenngleich eine höhere Temperatur üblicherweise zu einer schnelleren Abscheidung führt, kann die schnellere Abscheidung in Kristallbaufehlern und Rissbildung resultieren. - Bei einigen Ausführungsformen stellt das epitaktische Halbleitermaterial
10 die Source- und Drain-Bereiche der nachfolgend ausgebildeten Halbleitereinheit bereit. So, wie der Begriff hierin verwendet wird, handelt es sich bei der „Source” um einen dotierten Bereich in der Halbleitereinheit, in dem Majoritätsladungsträger in den Kanal fließen. So, wie der Begriff hierin verwendet wird, bedeutet „Drain” einen dotierten Bereich in der Halbleitereinheit, der sich am Ende des Kanals befindet, in dem Ladungsträger durch den Drain aus dem Transistor fließen. Die Leitfähigkeit der Source- und Drain-Bereiche gibt üblicherweise den Leitfähigkeitstyp der Halbleitereinheit vor. Bei einigen Ausführungsformen kann das epitaktische Halbleitermaterial10 mithilfe eines In-situ-Dotierverfahrens mit einem n- oder p-Dotierstoff dotiert werden. Mit „in-situ” ist gemeint, dass der Dotierstoff, der den Leitfähigkeitstyp des epitaktischen Halbleitermaterials10 vorgibt, während des Prozessschritts, z. B. einer epitaktischen Abscheidung, eingebracht wird, der das epitaktische Halbleitermaterial ausbildet. So, wie der Begriff hierin verwendet wird, bezieht sich „p-” auf das Hinzufügen von Verunreinigungen in einen intrinsischen Halbleiter, wodurch ein Mangel an Valenzelektronen erzeugt wird. Bei einem Halbleiter vom Typ IV (einem Element der Gruppe IV des Periodensystems der Elemente) wie etwa Silicium, Germanium oder Silicium-Germanium zählen zu Beispielen für p-Dotierstoffe, d. h. Verunreinigungen: Bor, Aluminium, Gallium und Indium, ohne auf diese beschränkt zu sein. So, wie der Begriff hierin verwendet wird, bezieht sich „n-” auf das Hinzufügen von Verunreinigungen, die in einen intrinsischen Halbleiter freie Elektronen einbringen. Bei einem Halbleiter vom Typ IV wie etwa Silicium, Germanium oder Silicium-Germanium zählen zu Beispielen für n-Dotierstoffe, d. h. Verunreinigungen, Antimon, Arsen und Phosphor, ohne auf diese beschränkt zu sein. Alternativ wird der Dotierstoff, der den Leitfähigkeitstyp des epitaktischen Halbleitermaterials10 bereitstellt, durch Ionenimplantation während einer späteren Phase des Verfahrens zum Ausbilden der Halbleitereinheit eingebracht. - Bei einer Ausführungsform weist das epitaktische Halbleitermaterial
10 eine Breite W2 im Bereich von 10 nm bis 100 nm auf. Bei einer weiteren Ausführungsform liegt die Breite W2 des epitaktischen Halbleitermaterials10 im Bereich von 20 nm bis 40 nm. Bei einigen Ausführungsformen wird die Breite W2 des epitaktischen Halbleitermaterials10 so gewählt, dass sich das epitaktische Halbleitermaterial10 von der Seitenwand einer ersten Finnenstruktur5 zu der Seitenwand einer angrenzenden Finnenstruktur5 erstreckt. -
3B ist eine Draufsicht auf die in3A dargestellten Finnenstrukturen5 , in der die Schnittlinie c-c durch das epitaktische Halbleitermaterial10 verläuft und der Pfeil, der sich von Punkt „d” erstreckt, die Perspektive einer Seitenansicht der Finnenstrukturen5 angibt. Der Ausdruck „durch das epitaktische Halbleitermaterial”, wie er überall in der vorliegenden Offenbarung verwendet wird, soll der Schnittlinie c-c in3B entsprechen. Der Ausdruck „Seitenansicht der Finnenstrukturen”, wie er überall in der vorliegenden Offenbarung verwendet wird, soll einer perspektivischen Ansicht der Finnenstrukturen von Punkt „d” in die durch den Pfeil angegebene Richtung entsprechen. -
4A ist eine seitliche Querschnittsansicht über die Finnenstrukturen5 , die ein Entfernen der dielektrischen Finnenabdeckung6 und ein Ausbilden eines Ersatz-Gate-Stapels14 auf den Finnenstrukturen5 darstellt. Die dielektrische Finnenabdeckung6 kann durch einen selektiven Ätzprozess entfernt werden. Bei einer Ausführungsform wird die dielektrische Finnenabdeckung6 durch eine Ätzung entfernt, die gegenüber den Finnenstrukturen5 , dem epitaktischen Halbleitermaterial10 und der dielektrischen Fläche4 selektiv ist. Bei dem Ätzprozess zum Entfernen der dielektrischen Finnenabdeckung6 kann es sich um eine anisotrope wie zum Beispiel eine reaktive Ionenätzung (RIE) oder um eine isotrope Ätzung wie zum Beispiel eine nasschemische Ätzung handeln. Bei einer Ausführungsform ist die obere Fläche der Finnenstrukturen5 nach dem Entfernen der dielektrischen Finnenabdeckung6 von der oberen Fläche des epitaktischen Halbleitermaterials10 um eine Größenordnung im Bereich von 0 nm bis 20 nm vertikal versetzt. Bei einer weiteren Ausführungsform ist die obere Fläche der Finnenstrukturen5 von der oberen Fläche des epitaktischen Halbleitermaterials10 um eine Größenordnung im Bereich von 2 nm bis 10 nm vertikal versetzt. Bei einer noch weiteren Ausführungsform ist die obere Fläche der Finnenstrukturen5 von der oberen Fläche des epitaktischen Halbleitermaterials10 um eine Größenordnung im Bereich von 3 nm bis 5 nm vertikal versetzt. Bei einigen Ausführungsformen wird die dielektrische Finnenabdeckung6 entfernt, sodass eine Tri-Gate-Halbleitereinheit bereitgestellt wird, und bei einigen Ausführungsformen wird die dielektrische Finnenabdeckung6 nicht entfernt und verbleibt in der endgültigen Einheitenstruktur, sodass eine FinFET-Halbleitereinheit bereitgestellt wird. - Unter Bezugnahme auf
4A kann der Ersatz-Gate-Stapel14 bei einer Ausführungsform eine Opfer-Gate-Dielektrikumschicht11 , eine Opfer-Gate-Leiterschicht12 und eine dielektrische Opfer-Gate-Abdeckung13 beinhalten. Die Opfer-Gate-Dielektrikumschicht11 kann aus einem beliebigen dielektrischen Material wie zum Beispiel einem Oxid-, Nitrid- oder Oxynitrid-Material bestehen. Bei einer Ausführungsform wird die Zusammensetzung der Opfer-Gate-Dielektrikumschicht11 so gewählt, dass das Opferdielektrikum durch eine Ätzung entfernt wird, die gegenüber den darunterliegenden Finnenstrukturen5 selektiv ist. Die Opfer-Gate-Dielektrikumschicht11 kann mithilfe eines Abscheidungsprozesses wie zum Beispiel einer chemischen Gasphasenabscheidung (chemical vapor deposition, CVD) ausgebildet werden. Die Opfer-Gate-Dielektrikumschicht11 kann außerdem mithilfe von Verfahren zur Verdampfung, chemischen Lösungsabscheidung, Aufschleuderabscheidung und physikalischen Gasphasenabscheidung (physical vapor deposition, PVD) abgeschieden werden oder kann mithilfe von thermischen Aufwachsverfahren ausgebildet werden. Die Opfer-Gate-Leiterschicht12 kann aus einem einen Halbleiter enthaltenden Material wie zum Beispiel einem Silicium enthaltenden Material, z. B. polykristallinem Silicium, einkristallinem Silicium, polykristallinem Silicium und Silicium-Germanium bestehen. Die Opfer-Gate-Leiterschicht12 kann mithilfe eines Abscheidungsprozesses wie zum Beispiel Verfahren zur CVD, Verdampfung, chemischen Lösungsabscheidung, Aufschleuderabscheidung und PVD ausgebildet werden. Die dielektrische Opfer-Gate-Abdeckung13 kann aus einem Oxid, Nitrid oder Oxynitrid bestehen und kann mithilfe von Verfahren zur chemischen Gasphasenabscheidung (CVD), physikalischen Gasphasenabscheidung (PVD), zum thermischen Aufwachsen oder einer Kombination davon ausgebildet werden. - Die
4B bis4C stellen eine Ausführungsform eines Strukturierens des Ersatz-Gate-Stapels14 dar, um eine Ersatz-Gate-Struktur15 auf dem Kanalabschnitt der Finnenstrukturen5 bereitzustellen.4B ist eine seitliche Querschnittsansicht durch die Finnenstruktur, und4C ist eine seitliche Querschnittsansicht durch das epitaktische Halbleitermaterial. Die Ersatz-Gate-Struktur15 beinhaltet ein Opfermaterial, das die Geometrie einer später ausgebildeten funktionalen Gate-Struktur definiert, die dazu dient, die Halbleitereinheit von einem „Ein”- in einen „Aus”-Zustand und umgekehrt zu schalten. - Bei einer Ausführungsform kann der in
4A dargestellte Ersatz-Gate-Stapel14 mithilfe von Photolithographie- und Ätzprozessen strukturiert und geätzt werden, um die in den4B und4C dargestellte Ersatz-Gate-Struktur15 bereitzustellen. Bei einer Ausführungsform wird durch Aufbringen eines Photolacks auf die zu ätzende Fläche, Belichten des Photolacks mit einem Strahlungsmuster und anschließend Entwickeln des Musters in dem Photolack mithilfe eines Photolackentwicklers ein Muster erzeugt. Nachdem das Strukturieren des Photolacks abgeschlossen ist, sind die durch den Photolack bedeckten Teilbereiche geschützt, wohingegen die freigelegten Bereiche mithilfe eines selektiven Ätzprozesses entfernt werden, der die ungeschützten Bereiche entfernt. Bei einer Ausführungsform entfernt der Ätzprozess die freigelegten Abschnitte des Ersatz-Gate-Stapels14 mit einer Ätzchemie, die gegenüber den Finnenstrukturen5 und dem epitaktischen Halbleitermaterial10 selektiv ist. Bei einer Ausführungsform handelt es sich bei dem Ätzprozess, der die Ersatz-Gate-Struktur15 ausbildet, um eine anisotrope Ätzung. Die anisotrope Ätzung kann eine reaktive Ionenätzung (RIE) beinhalten. Zu sonstigen Beispielen für eine anisotrope Ätzung, die verwendet werden kann, zählen Ionenstrahlätzen, Plasmaätzen oder Laserablation. Der verbleibende Abschnitt des Ersatz-Gate-Stapels, der die Ersatz-Gate-Struktur15 bereitstellt, befindet sich auf einem Kanalabschnitt der Finnenstrukturen5 . - Die
5A und5B stellen eine Ausführungsform eines Ausbildens eines Zwischenebenendielektrikums20 auf der Ersatz-Gate-Struktur15 , dem epitaktischen Halbleitermaterial10 und den Finnenstrukturen5 und eines Planarisierens des Zwischenebenendielektrikums20 dar, sodass eine obere Fläche des Zwischenebenendielektrikums20 mit einer oberen Fläche der Ersatz-Gate-Struktur15 koplanar ist. Das Zwischenebenendielektrikum20 kann aus der Gruppe, die aus Silicium enthaltenden Materialien wie zum Beispiel SiO2-, Si3N4-, SiOxNy-, SiC-, SiCO-, SiCOH- und SiCH-Verbindungen besteht; den oben genannten Silicium enthaltenden Materialien, bei denen das Si zum Teil oder vollständig durch Ge ersetzt ist; mit Kohlenstoff dotierten Oxiden; anorganischen Oxiden; anorganischen Polymeren; Hybridpolymeren; organischen Polymeren wie zum Beispiel Polyamiden oder SiLKTM; sonstigen Kohlenstoff enthaltenden Materialien; organisch-anorganischen Materialien wie zum Beispiel Aufschleudergläsern und Materialien auf der Grundlage von Silsesquioxan; und diamantähnlichem Kohlenstoff (diamond-like carbon, DLC), amorphem hydrierten Kohlenstoff (α-C:H) oder Silicium-Bor-Nitrid (SiBN) gewählt werden. Die Zwischenebenen-Dielektrikumschicht20 kann mithilfe von zumindest einem von einem Aufschleudern aus einer Lösung, Aufsprühen aus einer Lösung, einer chemischen Gasphasenabscheidung (CVD), einer plasmaunterstützten CVD (plasma enhanced CVD, PECVD), Atomlagenabscheidung (atomic layer deposition, ALD), Sputter-Abscheidung, einer reaktiven Sputter-Abscheidung, Ionenstrahlabscheidung und Verdampfung abgeschieden werden. Im Anschluss an die Abscheidung des dielektrischen Materials für die Zwischenebenen-Dielektrikumschicht20 wird ein Planarisierungsprozess durchgeführt, um eine planare obere Fläche bereitzustellen, wobei die obere Fläche der Zwischenebenen-Dielektrikumschicht20 mit der oberen Fläche der Ersatz-Gate-Struktur25 koplanar ist. Die Planarisierung der Zwischenebenen-Dielektrikumschicht20 kann durch chemisch-mechanische Planarisierung (CMP) bereitgestellt werden. - Die
6A und6B stellen eine Ausführungsform eines Entfernens der Ersatz-Gate-Struktur15 dar, um eine erste Öffnung25 zu den Finnenstrukturen5 bereitzustellen.6A ist eine seitliche Querschnittsansicht durch eine Finnenstruktur5 , und6B ist eine seitliche Querschnittsansicht durch ein epitaktisches Halbleitermaterial10 . Bei einer Ausführungsform kann die Ersatz-Gate-Struktur15 mit einem Ätzprozess entfernt werden. Bei dem Ätzprozess zum Entfernen der Ersatz-Gate-Struktur15 kann es sich um eine selektive Ätzung handeln. Die Ersatz-Gate-Struktur15 kann mithilfe eines Nass- oder Trockenätzprozesses entfernt werden. Bei einer Ausführungsform wird die Ersatz-Gate-Struktur15 durch eine reaktive Ionenätzung (RIE) entfernt. In einem Beispiel kann ein Ätzschritt zum Entfernen der Ersatz-Gate-Struktur15 eine Ätzchemie zum gegenüber den Finnenstrukturen5 , dem epitaktischen Halbleitermaterial10 und der Zwischenebenen-Dielektrikumschicht20 selektiven Entfernen der Ersatz-Gate-Struktur15 beinhalten. -
7 ist eine seitliche Querschnittsansicht durch ein epitaktisches Halbleitermaterial10 , die ein Entfernen eines freigelegten Abschnitts des epitaktischen Halbleitermaterials10 von der in6B dargestellten Struktur mit einer anisotropen Ätzung darstellt, um eine zweite Öffnung30 bereitzustellen, die auf der dielektrischen Fläche4 endet. Bei der anisotropen Ätzung zum Entfernen des freigelegten Abschnitts des epitaktischen Halbleitermaterials10 kann es sich um eine reaktive Ionenätzung (RIE) handeln. Zu sonstigen anisotropen Ätzprozessen, die zum Entfernen des freigelegten Abschnitts des epitaktischen Halbleitermaterials10 geeignet sind, zählen Ionenstrahlätzen, Plasmaätzen oder Laserablation. Bei einer Ausführungsform entfernt der Ätzprozess zum Entfernen des epitaktischen Halbleitermaterials10 das Material des epitaktischen Halbleitermaterials10 selektiv gegenüber der Zwischenebenen-Dielektrikumschicht20 und den Finnenstrukturen5 , wobei der Ätzprozess auf der dielektrischen Fläche4 endet. - Die
8A bis8C stellen eine Ausführungsform eines Ausbildens einer funktionalen Gate-Struktur in der ersten Öffnung und der zweiten Öffnung zu den Finnenstrukturen5 dar.8A ist eine seitliche Querschnittsansicht über die Finnenstrukturen5 ,8B ist eine seitliche Querschnittsansicht durch die zumindest eine Finnenstruktur5 , und8C ist eine seitliche Querschnittsansicht durch das epitaktische Halbleitermaterial10 . Die funktionale Gate-Struktur35 beinhaltet zumindest ein Gate-Dielektrikum36 und zumindest einen Gate-Leiter37 . Das zumindest eine Gate-Dielektrikum36 der funktionalen Gate-Struktur35 kann aus einem beliebigen dielektrischen Material bestehen, zum Beispiel aus Oxiden, Nitriden und Oxynitriden. Bei einer Ausführungsform kann das zumindest eine Gate-Dielektrikum36 durch ein dielektrisches High-k-Material bereitgestellt werden. Der Begriff „High-k”, der dazu verwendet wird, das Material des zumindest einen Gate-Dielektrikums36 zu beschreiben, bezeichnet ein dielektrisches Material mit einer bei Raumtemperatur (20°C bis 25°C) und Atmosphärendruck (1 atm) höheren Dielektrizitätskonstante als Siliciumoxid (SiO2). Beispielsweise kann ein dielektrisches High-k-Material eine höhere Dielektrizitätskonstante als 4,0 aufweisen. In einem weiteren Beispiel weist das dielektrische High-k-Material eine höhere Dielektrizitätskonstante als 7,0 auf. In einem noch weiteren Beispiel kann die Dielektrizitätskonstante des dielektrischen High-k-Materials höher als 10,0 sein. Bei einer Ausführungsform besteht das zumindest eine Gate-Dielektrikum36 aus einem High-k-Oxid wie zum Beispiel HfO2, ZrO2, Al2O3, TiO2, La2O3, SrTiO3, LaAlO3, Y2O3 und Gemischen davon. Zu sonstigen Beispielen für dielektrische High-k-Materialien für das zumindest eine Gate-Dielektrikum36 zählen Hafniumsilicat, Hafnium-Silicium-Oxynitrid oder Kombinationen davon. Bei einer Ausführungsform kann das zumindest eine Gate-Dielektrikum36 durch chemische Gasphasenabscheidung (CVD) abgeschieden werden. Zu Varianten von CVD-Prozessen, die zum Abscheiden des zumindest einen Gate-Dielektrikums36 geeignet sind, zählen APCVD, LPCVD, PECVD, MOCVD, ALD und Kombinationen davon, ohne auf diese beschränkt zu sein. - Bei einer Ausführungsform kann das zumindest eine Gate-Dielektrikum
36 mithilfe eines konformen Abscheidungsverfahrens abgeschieden werden. Der Begriff „konforme Schicht bezeichnet eine Schicht mit einer Dicke, die nicht um mehr oder weniger als 20% eines Durchschnittswertes für die Dicke der Schicht abweicht. Das zumindest eine Gate-Dielektrikum36 kann auf dem Kanalabschnitt der Finnenstrukturen5 abgeschieden werden. Das zumindest eine Gate-Dielektrikum36 wird außerdem auf den Seitenwänden der ersten Öffnung und den Seitenwänden der zweiten Öffnung ausgebildet, die durch das Zwischenebenendielektrikum20 definiert werden. Bei einer Ausführungsform ist die Dicke des zumindest einen Gate-Dielektrikums36 größer als 0,8 nm. Typischer weist das zumindest eine Gate-Dielektrikum36 eine Dicke im Bereich von etwa 1,0 nm bis etwa 6,0 nm auf. - Der zumindest eine Gate-Leiter
37 wird auf dem zumindest einen Gate-Dielektrikum36 ausgebildet. Der zumindest eine Gate-Leiter37 kann durch einen Abscheidungsprozess wie zum Beispiel CVD, plasmaunterstützte CVD, Plattieren und/oder Sputtern, gefolgt von einer Planarisierung, ausgebildet werden. Bei einer Ausführungsform besteht der zumindest eine Gate-Leiter37 aus Metall oder einem dotierten Halbleiter. Zu Beispielen für Metalle, die für den zumindest einen Gate-Leiter37 eingesetzt werden können, können W, Ni, Ti, Mo, Ta, Cu, Pt, Ag, Au, Ru, Ir, Rh und Re, Al, TiN, WN, TaN, TiAlN, TaAlN und Legierungen davon zählen, ohne auf diese beschränkt zu sein. Ein Beispiel für einen dotierten Halbleiter, der für den zumindest einen Gate-Leiter37 geeignet ist, ist dotiertes Polysilicium. - Unter Bezugnahme auf
8C ist bei einer Ausführungsform die Seitenwand S2 der funktionalen Gate-Struktur35 im Wesentlichen senkrecht zu der oberen Fläche der dielektrischen Fläche4 , wobei sich die Ebene, die durch die Seitenwand S2 der funktionalen Gate-Struktur35 definiert wird, und eine Ebene, die durch eine obere Fläche der dielektrischen Fläche4 definiert wird, in einem Winkel α1 von 90° +/– 10° schneiden. Bei einer weiteren Ausführungsform schneiden sich die Ebene, die durch die Seitenwand S2 der funktionalen Gate-Struktur35 definiert wird, und eine Ebene, die durch eine obere Fläche der dielektrischen Fläche4 definiert wird, in einem Winkel α2 von 90° +/– 5°. Bei einer noch weiteren Ausführungsform schneiden sich die Ebene, die durch die Seitenwand S2 der funktionalen Gate-Struktur35 definiert wird, und eine Ebene, die durch eine obere Fläche der dielektrischen Fläche4 definiert wird, in einem Winkel α2 von 90°. - Bei einigen Ausführungsformen, bei denen das epitaktische Halbleitermaterial
10 vor dem Ausbilden der funktionalen Gate-Struktur35 nicht dotiert worden ist, um die Source- und Drain-Bereiche der Halbleitereinheit bereitzustellen, kann zumindest ein Abschnitt der Zwischenebenen-Dielektrikumschicht20 entfernt werden, um einen verbleibenden Abschnitt des epitaktischen Halbleitermaterials10 freizulegen. Nachdem der verbleibende Abschnitt des epitaktischen Halbleitermaterials10 freigelegt worden ist, kann ein n- oder p-Dotierstoff mithilfe von Ionenimplantation in das epitaktische Halbleitermaterial implantiert werden, um die Source- und Drain-Bereiche der Halbleitereinheit bereitzustellen. - Bei einigen Ausführungsformen steht bei dem unter Bezugnahme auf die
1 bis8C beschriebenen Verfahren, in dem die dielektrischen Finnenabdeckungen6 von den Finnenstrukturen5 entfernt werden, das zumindest eine funktionale Gate-Dielektrikum36 in direktem Kontakt mit einer Seitenwand und einer oberen Fläche für jede der Finnenstrukturen5 , und bei der Halbleitereinheit, die durch das Verfahren ausgebildet wird, handelt es sich um eine Tri-Gate-Halbleitereinheit. Bei anderen Ausführungsformen steht bei dem unter Bezugnahme auf die1 bis8C beschriebenen Verfahren, in dem die dielektrischen Finnenabdeckungen6 nicht von der oberen Fläche der Finnenstrukturen5 entfernt werden, das funktionale Gate-Dielektrikum36 in direktem Kontakt mit einer Seitenwand für jede der Finnenstrukturen5 und ist durch die dielektrischen Finnenabdeckungen6 von einer oberen Fläche für jede der Finnenstrukturen5 getrennt, und bei der Halbleitereinheit handelt es sich um eine FinFET-Halbleitereinheit. - Bei einer weiteren Ausführungsform der vorliegenden Offenbarung wird ein Low-k-Abstandselement angrenzend an das High-k-Gate-Dielektrikum der funktionalen Gate-Struktur ausgebildet. Der Begriff „low”, der dazu verwendet wird, das Abstandselement zu beschreiben, das sich angrenzend an das Gate-Dielektrikum der funktionalen Gate-Struktur befindet, gibt an, dass das Abstandselement eine niedrigere Dielektrizitätskonstante als das Gate-Dielektrikum aufweist. Bei einigen Ausführungsformen verringert das Low-k-Abstandselement die parasitäre Kapazität der Halbleitereinheit. Ein Prozessablauf zum Ausbilden des Low-K-Abstandselements wird in den
1 bis6B zusammen mit den9 und10 dargestellt. Bei einer Ausführungsform kann das Verfahren mit einem Ausbilden eines epitaktischen Halbleitermaterials10 auf den Seitenwänden S1 der Finnenstrukturen5 beginnen, die sich auf der dielektrischen Fläche4 befinden, wie in3A dargestellt. Wie oben unter Bezugnahme auf3A beschrieben, können die Finnenstrukturen5 eine erste Zusammensetzung aufweisen, die sich von einer zweiten Zusammensetzung des epitaktischen Halbleitermaterials10 unterscheidet. Eine Ersatz-Gate-Struktur15 kann anschließend auf einem Kanalabschnitt der Finnenstrukturen5 ausgebildet werden, wie in den4A bis4C dargestellt. Eine Zwischenebenen-Dielektrikumschicht20 wird dann über einem freigelegten Abschnitt der Finnenstrukturen5 ausgebildet, wobei die Zwischenebenen-Dielektrikumschicht20 eine obere Fläche aufweist, die mit einer oberen Fläche der Ersatz-Gate-Struktur15 koplanar ist, wie in den5A und5B dargestellt. Die Ersatz-Gate-Struktur15 wird anschließend mit einer Ätzung entfernt, die gegenüber der zumindest einen Finnenstruktur5 und dem epitaktischen Halbleitermaterial10 selektiv ist, wobei das Entfernen der Ersatz-Gate-Struktur15 eine erste Öffnung25 zu den Finnenstrukturen5 bereitstellt, wie in6A dargestellt. Ein freigelegter Abschnitt des epitaktischen Halbleitermaterials10 wird mit einer anisotropen Ätzung entfernt, um eine zweite Öffnung30 bereitzustellen, die auf der dielektrischen Fläche4 endet, wie in6B dargestellt. - Die obige Zusammenfassung der in den
1 bis6B dargestellten Prozessschritte soll diese Ausführungsform nicht nur auf die obige Beschreibung beschränken, da der gesamte Prozessablauf der zuvor unter Bezugnahme auf die1 bis6B beschriebenen Ausführungsformen auf die vorliegende Ausführungsform angewandt werden kann. Beispielsweise kann jede der Finnenstrukturen5 , die in dem in den1 bis6B ,9 und10 dargestellten Verfahren eingesetzt werden, eine dielektrische Finnenabdeckung6 (wie in2 dargestellt) beinhalten, die nach dem Ausbilden des Opferhalbleitermaterials10 (wie in3A dargestellt) und vor dem Ausbilden der Ersatz-Gate-Struktur15 (wie in den4A bis4C dargestellt) entfernt wird. - Unter Bezugnahme auf
9 wird eine isotrope Ätzung auf die zweite Öffnung30 angewandt, die in6B dargestellt wird, um die Breite der zweiten Öffnung30 zu vergrößern. Im Gegensatz zu anisotropen Ätzprozessen ist eine isotrope Ätzung nichtgerichtet. Bei der ersten Breite W3 handelt es sich um die Breite der zweiten Öffnung vor dem isotropen Ätzprozess. Die erste Breite W3 stimmt mit der gewünschten Gate-Länge überein und kann in einem Bereich von 5 nm bis zu einigen Mikrometern liegen, z. B. 1 Mikrometer, 2 Mikrometer, 3 Mikrometer usw. Bei der zweiten Breite W4 handelt es sich um die Breite der zweiten Öffnung30 nach dem isotropen Ätzprozess. Bei einer Ausführungsform ist die zweite Breite W4 um eine Größenordnung im Bereich von 2 nm bis 10 nm größer als die erste Breite W3. Bei einer weiteren Ausführungsform ist die zweite Breite W4 um eine Größenordnung im Bereich von 4 nm bis 8 nm größer als die erste Breite W3. - Bei einer Ausführungsform entfernt der isotrope Ätzprozess das epitaktische Halbleitermaterial
10 selektiv gegenüber der Zwischenebenen-Dielektrikumschicht20 und den Finnenstrukturen5 . Durch gegenüber der Zwischenebenen-Dielektrikumschicht20 und den Finnenstrukturen5 selektives Ätzen des epitaktischen Halbleitermaterials10 wird ein Unterätzungsbereich unter der Zwischenebenen-Dielektrikumschicht20 ausgebildet. Bei einer Ausführungsform, bei der der epitaktische Halbleiter10 aus SiGe besteht und die Finnenstrukturen5 aus Si bestehen, kann die isotrope Ätzung zum Vergrößern der Breite der zweiten Öffnung eine Nassätzung mithilfe eines Gemisches aus Wasserstoffperoxid (H2O2), Ammoniumhydroxid (NH4OH) und Wasser, eine Nassätzung mithilfe von Wasserstoffperoxid oder eine Trockenätzung in einer HCl-Umgebung beinhalten. - Unter Bezugnahme auf
9 wird im Anschluss an die isotrope Ätzung eine konforme dielektrische Schicht40 mit einer ersten Dielektrizitätskonstante auf die zweite Öffnung30 aufgebracht. Die Dielektrizitätskonstante der konformen dielektrischen Schicht40 ist üblicherweise niedriger als die der nachfolgend ausgebildeten funktionalen Gate-Dielektrikumschicht, um die parasitäre Kapazität zu verringern, die in der Halbleitereinheit ausgebildet wird. Wenn es sich zum Beispiel bei der funktionalen Gate-Dielektrikumschicht der nachfolgend ausgebildeten funktionalen Gate-Struktur um ein High-k-Gate-Dielektrikum wie etwa Hafniumoxid (HfO2) handelt, kann die konforme dielektrische Schicht40 aus einem Lower-k-Dielektrikum wie etwa Siliciumoxid (SiO2), Siliciumnitrid (SiN) oder Siliciumoxynitrid bestehen. - Bei einer Ausführungsform handelt es sich bei einem Low-k-Dielektrikum der konformen dielektrischen Schicht
40 um ein dielektrisches Material mit einer Dielektrizitätskonstante im Bereich von 2 bis 9, und bei einem High-k-Dielektrikum des nachfolgend ausgebildeten funktionalen Gate-Dielektrikums handelt es sich um ein dielektrisches Material mit einer Dielektrizitätskonstante im Bereich von 10 bis 40. Bei einer weiteren Ausführungsform handelt es sich bei dem Low-k-Dielektrikum der konformen dielektrischen Schicht40 um ein dielektrisches Material mit einer Dielektrizitätskonstante, die im Bereich von 3 bis 7 liegt, und das dielektrische High-k-Material des nachfolgend ausgebildeten funktionalen Gate-Dielektrikums weist eine Dielektrizitätskonstante im Bereich von 10 bis 25 auf. Die hierin erörterten Dielektrizitätskonstanten gelten für Raumtemperatur, z. B. 20°C bis 25°C und Atmosphärendruck (1 atm). - Die konforme dielektrische Schicht
40 kann mithilfe eines Abscheidungsprozesses wie etwa chemischer Gasphasenabscheidung (CVD), z. B. plasmaunterstützter chemischer Gasphasenabscheidung (PECVD), oder Atomlagenabscheidung (ALD) ausgebildet werden. Die Dicke der konformen dielektrischen Schicht40 kann so gewählt werden, dass sie zumindest die Unterätzungsbereiche füllt, die durch die isotrope Ätzung ausgebildet worden sind, die die Breite der zweiten Öffnung30 zu der zweiten Breite W2 vergrößert hat. Die Abschnitte der konformen dielektrischen Schicht40 , die sich in den Unterätzungsbereichen befinden, befinden sich in einem unteren Abschnitt der zweiten Öffnung30 und stehen in direktem Kontakt mit dem verbleibenden Abschnitt des epitaktischen Halbleitermaterials10 . Die konforme dielektrische Schicht40 kann auch auf der oberen Fläche der Zwischenebenen-Dielektrikumschicht20 und den Seitenwandflächen der Zwischenebenen-Dielektrikumschicht20 , die den oberen Abschnitt der zweiten Öffnung30 bereitstellen, wie auch auf dem Boden der zweiten Öffnung30 abgeschieden werden, der durch die dielektrische Fläche4 bereitgestellt wird. Bei einer Ausführungsform weist die konforme dielektrische Schicht40 eine Dicke im Bereich von 2 nm bis 10 nm auf. Bei einer weiteren Ausführungsform weist die konforme dielektrische Schicht40 eine Dicke im Bereich von 2 nm bis 5 nm auf. - Unter Bezugnahme auf
10 kann eine anisotrope Ätzung wie zum Beispiel eine reaktive Ionenätzung (RIE) bei einer Ausführungsform die Abschnitte der konformen dielektrischen Schicht40 entfernen, die sich auf der oberen Fläche der Zwischenebenen-Dielektrikumschicht20 , den Seitenwandflächen der Zwischenebenen-Dielektrikumschicht20 , die den oberen Abschnitt der zweiten Öffnung30 bereitstellen, und dem Boden der zweiten Öffnung30 befinden, der durch die dielektrische Fläche4 bereitgestellt wird. Bei einer Ausführungsform ist die anisotrope Ätzung, die auf die konforme dielektrische Schicht40 angewandt wird, selektiv gegenüber der Zwischenebenen-Dielektrikumschicht20 , der dielektrischen Fläche4 und den Finnenstrukturen5 . Der verbleibende Abschnitt der konformen dielektrischen Schicht40 befindet sich in den Unterätzungsbereichen und stellt das Low-k-Abstandselement45 bereit, das sich angrenzend an das nachfolgend ausgebildete funktionale High-k-Gate-Dielektrikum der funktionalen Gate-Struktur befindet. Bei einigen Ausführungsformen wird, da sich die konforme dielektrische Schicht40 in den Unterätzungsbereichen befindet und es sich bei dem Ätzprozess um eine anisotrope Ätzung handelt, der Abschnitt der konformen dielektrischen Schicht40 , der sich in den Unterätzungsbereichen befindet, durch den überhängenden Abschnitt der Zwischenebenen-Dielektrikumschicht20 davor geschützt, entfernt zu werden. Bei einer Ausführungsform weist das Low-k-Abstandselement45 eine Breite im Bereich von 2 nm bis 10 nm auf. Bei einer weiteren Ausführungsform weist das Low-k-Abstandselement45 eine Breite im Bereich von 2 nm bis 5 nm auf. -
10 stellt des Weiteren ein Ausbilden einer funktionalen Gate-Struktur35 dar, die zumindest einen Abschnitt der zweiten Öffnung30 , die in9 dargestellt wird, wie auch die zweite Öffnung zu den Finnenstrukturen füllt.10 ist eine seitliche Querschnittsansicht durch das epitaktische Halbleitermaterial10 . Die funktionale Gate-Struktur35 beinhaltet zumindest ein funktionales Gate-Dielektrikum36 und zumindest einen funktionalen Gate-Leiter37 . Bei einigen Ausführungsformen weist das funktionale Gate-Dielektrikum36 , z. B. ein High-k-Gate-Dielektrikum, eine höhere Dielektrizitätskonstante als die Dielektrizitätskonstante des Low-k-Abstandselements45 auf. Die funktionale Gate-Struktur35 , die den zumindest einen funktionalen Gate-Leiter37 und das zumindest eine funktionale Gate-Dielektrikum36 beinhaltet, das in10 dargestellt ist, ähnelt der funktionalen Gate-Struktur35 , die oben unter Bezugnahme auf die8A bis8C beschrieben worden ist. Daher ist das Verfahren zum Ausbilden der funktionalen Gate-Struktur35 und die Beschreibung ihrer Bestandteile, die oben unter Bezugnahme auf die8A bis8C beschrieben worden sind, geeignet für die funktionale Gate-Struktur35 , die in10 dargestellt ist, abgesehen davon, dass in der in10 dargestellten Struktur die funktionale Gate-Struktur35 in direktem Kontakt mit dem Low-k-Abstandselement45 steht. Bei einer Ausführungsform erstreckt sich das Low-k-Abstandselement45 zwischen den Seitenwänden der angrenzenden Finnenstrukturen5 und trennt den verbleibenden Abschnitt des epitaktischen Halbleitermaterials10 von der funktionalen Gate-Struktur35 . Das Low-k-Abstandselement45 weist eine obere Fläche auf, die mit einer oberen Fläche der Finnenstrukturen5 im Wesentlichen koplanar ist. Der Ausdruck „im Wesentlichen koplanar”, wie er verwendet wird, um die obere Fläche der Finnenstrukturen5 und die obere Fläche des Low-k-Abstandselements45 zu beschreiben, soll kennzeichnen, dass der vertikale Versatz zwischen der oberen Fläche der Finnenstrukturen5 und der oberen Fläche des Low-k-Abstandselements45 nicht größer als 5 nm sein darf. - Bei einigen Ausführungsformen steht bei dem unter Bezugnahme auf die
1 bis6B ,9 und10 beschriebenen Verfahren, in dem die dielektrischen Finnenabdeckungen6 von den Finnenstrukturen5 entfernt werden, das zumindest eine funktionale Gate-Dielektrikum36 in direktem Kontakt mit einer Seitenwand und einer oberen Fläche für jede der Finnenstrukturen5 , und bei der Halbleitereinheit, die durch das Verfahren ausgebildet wird, handelt es sich um eine Tri-Gate-Halbleitereinheit. Bei anderen Ausführungsformen steht bei dem unter Bezugnahme auf die1 bis6B ,9 und10 beschriebenen Verfahren, in dem die dielektrischen Finnenabdeckungen6 nicht von der oberen Fläche der Finnenstrukturen5 entfernt werden, das zumindest eine funktionale Gate-Dielektrikum36 in direktem Kontakt mit einer Seitenwand für jede der Finnenstrukturen5 und ist durch die dielektrischen Finnenabdeckung6 von einer oberen Fläche für jede der Finnenstrukturen5 getrennt. Bei dieser Ausführungsform handelt es sich bei der Halbleitereinheit um eine FinFET-Halbleitereinheit. - Eine weitere Ausführungsform der vorliegenden Offenbarung wird durch einen Prozessablauf bereitgestellt, der durch die
1 bis4C zusammen mit den11 bis17B dargestellt wird, in dem ein Opferhalbleitermaterial10 eingesetzt werden kann, um die Schwierigkeiten von Topographieschwankungen in der Fertigung von Halbleitereinheiten zu lösen, die Finnenstrukturen beinhalten. Die Schwankungen in der Topographie von Finnenstrukturen können dazu führen, dass funktionale Gate-Strukturen eine konische Seitenwand aufweisen. Die hierin offenbarten Verfahren und Strukturen, die das Opferhalbleitermaterial10 einsetzen können, um die Topographie der Finnenstrukturen zu verringern, können funktionale Gate-Strukturen mit senkrechten Seitenwänden ausbilden. - Bei einer Ausführungsform wird ein Verfahren zum Fertigen einer Halbleitereinheit bereitgestellt, das mit einem epitaktischen Ausbilden eines epitaktischen Halbleitermaterials
10 (das im Folgenden als Opferhalbleitermaterial10 bezeichnet wird) auf zumindest zwei Finnenstrukturen5 beginnen kann, wobei sich das Opferhalbleitermaterial10 zumindest von einer ersten Seitenwand einer ersten Finnenstruktur5 zu einer zweiten Seitenwand einer angrenzenden Finnenstruktur5 erstreckt, wie oben unter Bezugnahme auf3A beschrieben. Das Opferhalbleitermaterial10 kann eine andere Zusammensetzung als die Finnenstrukturen5 aufweisen. Beispielsweise kann das Opferhalbleitermaterial10 aus einem Germanium enthaltenden Halbleitermaterial wie etwa Germanium (Ge) oder Silicium-Germanium (SiGe) bestehen, und jede der Finnenstrukturen5 kann aus einem Silicium enthaltenden Halbleitermaterial bestehen, das kein Germanium beinhaltet, wie etwa Silicium (Si). Üblicherweise wird das Opferhalbleitermaterial10 bei dieser Ausführungsform nicht dotiert. Unter Bezugnahme auf die4A bis4C kann anschließend eine Ersatz-Gate-Struktur15 auf einem Kanalabschnitt jeder der Finnenstrukturen5 ausgebildet werden. Die obige Zusammenfassung der in den1 bis4C dargestellten Prozessschritte soll diese Ausführungsform nicht nur auf die obige Beschreibung beschränken, da der gesamte Prozessablauf, der unter Bezugnahme auf die1 bis4C für die vorherigen Ausführungsformen beschrieben worden ist, auf die vorliegende Ausführungsform angewandt werden kann. Beispielsweise kann jede der Finnenstrukturen5 , die in dem in den1 bis4C und11 bis17 dargestellten Verfahren eingesetzt werden, eine dielektrische Finnenabdeckung6 (wie in2 dargestellt) beinhalten, die nach dem Ausbilden des Opferhalbleitermaterials10 (wie in3A dargestellt) und vor dem Ausbilden des Ersatzes (wie in den4A bis4C dargestellt) entfernt wird. -
11 stellt eine Ausführungsform eines anisotropen Ätzens des Opferhalbleitermaterials10 , das in4C dargestellt wird, mit einer Ätzchemie dar, die zumindest gegenüber der Ersatz-Gate-Struktur15 und den Finnenstrukturen5 selektiv ist.11 ist eine seitliche Querschnittsansicht durch das Opferhalbleitermaterial10 . Bei einer Ausführungsform befindet sich ein verbleibender Abschnitt des Opferhalbleitermaterials10 unter der Ersatz-Gate-Struktur15 . Bei einer Ausführungsform kann es sich bei der anisotropen Ätzung zum Entfernen der freigelegten Abschnitte des Opferhalbleitermaterials10 um eine reaktive Ionenätzung (RIE) handeln. Zu sonstigen anisotropen Ätzverfahren, die an dieser Stelle der vorliegenden Offenbarung verwendet werden können, zählen Ionenstrahlätzen, Plasmaätzen oder Laserablation. Bei einer Ausführungsform kann der anisotrope Ätzprozess zum Entfernen der freigelegten Abschnitte des Opferhalbleitermaterials10 selektiv gegenüber der dielektrischen Opfer-Gate-Abdeckungsschicht13 , den Finnenstrukturen5 und der dielektrischen Fläche4 sein. Bei einigen Ausführungsformen werden, da die Ersatz-Gate-Struktur15 als Ätzmaske dient, die Seitenwände des verbleibenden Abschnitts des Opferhalbleitermaterials10 , die durch die anisotrope Ätzung geformt werden, auf die Seitenwände der darüberliegenden Ersatz-Gate-Struktur15 ausgerichtet. - Die
12A bis14 stellen eine Ausführungsform eines Ausbildens eines dielektrischen Abstandselements50 auf Seitenwänden der Ersatz-Gate-Struktur15 und des verbleibenden Abschnitts des Opferhalbleitermaterials10 dar. Die12A und12B stellen eine Ausführungsform eines Abscheidens einer konformen dielektrischen Schicht47 auf Flächen der Ersatz-Gate-Struktur15 , der dielektrischen Fläche4 , des verbleibenden Abschnitts des Opferhalbleitermaterials10 und der Finnenstrukturen5 dar.12A ist eine seitliche Querschnittsansicht durch das Opferhalbleitermaterial10 , und12B ist eine seitliche Querschnittsansicht durch eine der Finnenstrukturen5 . - Die konforme dielektrische Schicht
47 kann aus einem beliebigen dielektrischen Material bestehen, darunter aus Oxiden, Nitriden und dielektrischen Oxynitridmaterialien. In einem Beispiel besteht die konforme dielektrische Schicht47 aus Silicium-Nitrid (SiN), Silicium-Bor-Nitrid (SiBN) oder SiCBN. Die konforme dielektrische Schicht47 kann mithilfe eines Abscheidungsprozesses wie etwa chemischer Gasphasenabscheidung (CVD), z. B. plasmaunterstützter chemischer Gasphasenabscheidung (PECVD), oder Atomlagenabscheidung (ALD) ausgebildet werden. Bei einer Ausführungsform weist die konforme dielektrische Schicht47 eine Dicke im Bereich von 2 nm bis 15 nm auf. Bei einer weiteren Ausführungsform weist die konforme dielektrische Schicht47 eine Dicke im Bereich von 3 nm bis 10 nm auf. - Die
13A und13B stellen eine Ausführungsform eines anisotropen Ätzens der konformen dielektrischen Schicht47 dar, wobei sich ein erster verbleibender Abschnitt der konformen dielektrischen Schicht, der das dielektrische Abstandselement50 bereitstellt, auf den Seitenwänden der Ersatz-Gate-Struktur15 , den Seitenwänden der Finnenstrukturen5 und den Seitenwänden des verbleibenden Abschnitts des Opferhalbleitermaterials10 befindet.13A ist eine seitliche Querschnittsansicht durch das Opferhalbleitermaterial10 , und13B ist eine seitliche Querschnittsansicht durch eine der Finnenstrukturen5 . Zu Beispielen für anisotrope Ätzprozesse, die auf die konforme dielektrische Schicht47 angewandt werden können, zählen reaktives Ionenätzen (RIE), Ionenstrahlätzen, Plasmaätzen, Laserablation oder eine Kombination davon. Unter Bezugnahme auf die12A bis13B wird aufgrund des anisotropen Charakters der Ätzung die geringere vertikale Dicke V1 der konformen dielektrischen Schicht47 , die sich auf den horizontalen Flächen der oberen Fläche der Ersatz-Gate-Struktur15 , der oberen Fläche der Finnenstrukturen5 und der oberen Fläche der dielektrischen Fläche4 befindet, entfernt, wohingegen die größere vertikale Dicke V2 der konformen dielektrischen Schicht47 , die sich auf den Seitenwänden der Finnenstrukturen5 , den Seitenwänden des verbleibenden Abschnitts des Opferhalbleitermaterials10 und den Seitenwänden der Ersatz-Gate-Struktur15 befindet, verbleibt, um das dielektrische Abstandselement50 bereitzustellen. - Bei dem anisotropen Ätzprozess kann es sich um einen zeitlich festgelegten Ätzprozess handeln, und er kann mithilfe von Endpunkt-Erkennungstechniken beendet werden. Bei einigen Ausführungsformen kann ein verbleibender Abschnitt der konformen dielektrischen Schicht
47 von den Kanten der zumindest zwei Finnenstrukturen5 entfernt werden. Der verbleibende Abschnitt der konformen dielektrischen Schicht47 kann von den Kanten der zumindest zwei Finnenstrukturen5 mithilfe einer schrägen Ionenimplantation entfernt werden, um den Abschnitt der konformen dielektrischen Schicht47 zu beschädigen, der sich auf den Kanten der zumindest zwei Finnenstrukturen5 befindet, worauf ein Nassätzprozess folgt. Der Nassätzprozess entfernt den beschädigten Abschnitt der konformen dielektrischen Schicht47 , der sich auf den Kanten der zumindest zwei Finnenstrukturen5 befindet. Bei dem Nassätzprozess kann es sich um eine Ätzung handeln, die selektiv gegenüber den Finnenstrukturen5 ist.14 ist eine perspektivische Seitenansicht einer Vielzahl von Finnenstrukturen5 in Richtung des freigelegten Endes, d. h. der Kanten der zumindest zwei Finnenstrukturen5 im Anschluss an das Entfernen des beschädigten Abschnitts der konformen dielektrischen Schicht, der sich auf den Kanten der Finnenstrukturen5 befand.14 ist eine perspektivische Seitenansicht der Kanten der Finnenstrukturen5 in Richtung des Endes der in3B dargestellten Finnenstrukturen5 . Bei einigen Ausführungsformen kann das dielektrische Abstandselement50 das Opferhalbleitermaterial10 bedecken. -
15 stellt eine Ausführungsform eines Ausbildens von Source- und Drain-Bereichen55 aus einem epitaktischen Halbleitermaterial auf den freigelegten Seitenwänden der Finnenstrukturen5 dar.15 ist eine perspektivische Seitenansicht in Richtung des Endes der Finnenstrukturen5 aus der Perspektive von Punkt „d”, wie in3B dargestellt. Die Source- und Drain-Bereiche55 aus dem epitaktischen Halbleitermaterial sind von der Ersatz-Gate-Struktur15 und dem verbleibenden Abschnitt des Opferhalbleitermaterials10 durch das dielektrische Abstandselement50 getrennt. Die Source- und Drain-Bereiche55 aus dem epitaktischen Halbleitermaterial werden mithilfe eines epitaktischen Aufwachsprozesses ausgebildet, der dem epitaktischen Aufwachsprozess ähnelt, der oben für das Ausbilden des epitaktischen Halbleitermaterials10 unter Bezugnahme auf3 beschrieben worden ist. Daher ist die Beschreibung des epitaktischen Halbleitermaterials10 , das in3 dargestellt ist, zum Ausbilden der Source- und Drain-Bereiche55 aus dem epitaktischen Halbleitermaterial geeignet, die in15 dargestellt sind. Beispielsweise können die Source- und Drain-Bereiche55 aus dem epitaktischen Halbleitermaterial aus Silicium (Si), Silicium-Germanium (SiGe), Germanium (Ge), mit Kohlenstoff dotiertem Silicium-Germanium (SiGe:C) und mit Kohlenstoff dotiertem Silicium (Si:C) bestehen. Die Source- und Drain-Bereiche55 aus dem epitaktischen Halbleitermaterial können in-situ mit einem n- oder p-Dotierstoff dotiert werden, oder die Source- und Drain-Bereiche55 aus dem epitaktischen Halbleitermaterial können mithilfe von Ionenimplantation mit einem n- oder p-Dotierstoff dotiert werden. Bei einigen Ausführungsformen können sich die Source- und Drain-Bereiche55 aus dem epitaktischen Halbleitermaterial von der Seitenwand einer ersten Finnenstruktur5 zu der Seitenwand einer angrenzenden Finnenstruktur5 erstrecken und können als „zusammengeführter” Source- und Drain-Bereich55 aus einem epitaktischen Halbleitermaterial bezeichnet werden. Bei einigen Ausführungsformen kann das dielektrische Abstandselement50 das Opferhalbleitermaterial10 bedecken. - Die
16A und16B stellen eine Ausführungsform eines Ausbildens einer Zwischenebenen-Dielektrikumschicht60 über einem freigelegten Abschnitt der Finnenstrukturen5 und eines Entfernens der Ersatz-Gate-Struktur15 und des verbleibenden Abschnitts des Opferhalbleitermaterials10 selektiv gegenüber den Finnenstrukturen5 , der dielektrischen Fläche4 und der Zwischenebenen-Dielektrikumschicht60 dar.16A ist eine seitliche Querschnittsansicht durch eine der Finnenstrukturen5 , und16B ist eine seitliche Querschnittsansicht durch den Abschnitt der Struktur, aus dem der verbleibende Abschnitt des Opferhalbleitermaterials10 entfernt worden ist. Die Zwischenebenen-Dielektrikumschicht60 , die in den16A und16B dargestellt ist, ähnelt der Zwischenebenen-Dielektrikumschicht20 , die oben unter Bezugnahme auf die5A und5B beschrieben worden ist. Daher ist die obige Beschreibung der Zwischenebenen-Dielektrikumschicht20 , die in3A dargestellt ist, für die Zwischenebenen-Dielektrikumschicht60 geeignet, die in den16A und16B dargestellt ist. - Bei einigen Ausführungsformen wird im Anschluss an die Ausbildung der Zwischenebenen-Dielektrikumschicht
60 die Ersatz-Gate-Struktur entfernt, um eine erste Öffnung65 zu den Finnenstrukturen5 auszubilden und den verbleibenden Abschnitt des Opferhalbleitermaterials10 freizulegen. Die Ersatz-Gate-Struktur kann mit einer Ätzung entfernt werden, die selektiv gegenüber den Finnenstrukturen5 , dem dielektrischen Abstandselement50 und der Zwischenebenen-Dielektrikumschicht60 ist. Bei einigen Ausführungsformen kann nach dem Entfernen der Ersatz-Gate-Struktur der verbleibende Abschnitt des Opferhalbleitermaterials10 entfernt werden, um eine zweite Öffnung70 bereitzustellen. Die zweite Öffnung70 kann einen Abschnitt der dielektrischen Fläche4 freilegen. Bei einer Ausführungsform kann der verbleibende Abschnitt des Opferhalbleitermaterials10 mit einer Ätzung entfernt werden, die selektiv gegenüber den zumindest zwei Finnenstrukturen5 , dem dielektrischen Abstandselement50 , der dielektrischen Fläche4 und der Zwischenebenen-Dielektrikumschicht60 ist. Bei dem Ätzprozess zum Entfernen des verbleibenden Abschnitts des Opferhalbleitermaterials10 kann es sich um eine anisotrope Ätzung wie zum Beispiel eine reaktive Ionenätzung (RIE) handeln, oder es kann sich um eine isotrope Ätzung wie zum Beispiel eine nasschemische Ätzung handeln. - Die
17A und17B stellen eine Ausführungsform eines Ausbildens einer funktionalen Gate-Struktur75 in der ersten Öffnung65 und der zweiten Öffnung70 dar.17A ist eine seitliche Querschnittsansicht durch eine der Finnenstrukturen5 , und17B ist eine seitliche Querschnittsansicht durch den Bereich der Halbleitereinheit, aus der der verbleibende Abschnitt des Opferhalbleitermaterials entfernt worden ist, um die zweite Öffnung70 bereitzustellen. Die funktionale Gate-Struktur75 , die das zumindest eine funktionale Gate-Dielektrikum76 und den zumindest einen funktionalen Gate-Leiter77 beinhaltet, die in den17A und17B dargestellt ist, ähnelt der funktionalen Gate-Struktur35 , die das zumindest eine funktionale Gate-Dielektrikum36 und den zumindest einen funktionalen Gate-Leiter77 beinhaltet, die oben unter Bezugnahme auf die8A bis8C beschrieben worden ist. Daher ist die obige Beschreibung der funktionalen Gate-Struktur35 , die in den8A bis8C dargestellt ist, für die in den17A und17B dargestellte funktionale Gate-Struktur75 geeignet. Bei einer Ausführungsform trennt das dielektrische Abstandselement50 die funktionale Gate-Struktur75 , die in17B dargestellt ist, von den Source- und Drain-Bereichen55 aus dem epitaktischen Halbleitermaterial. Unter Bezugnahme auf17B ist bei einer Ausführungsform die Seitenwand S3 der funktionalen Gate-Struktur75 im Wesentlichen senkrecht zu der oberen Fläche der dielektrischen Fläche4 , wobei sich die Ebene, die durch die Seitenwand S3 der funktionalen Gate-Struktur75 definiert wird, und eine Ebene, die durch eine obere Fläche der dielektrischen Fläche4 definiert wird, in einem Winkel α2 von 90° +/– 10° schneiden. Bei einer weiteren Ausführungsform schneiden sich die Ebene, die durch die Seitenwand S3 der funktionalen Gate-Struktur75 definiert wird, und eine Ebene, die durch eine obere Fläche der dielektrischen Fläche4 definiert wird, in einem Winkel α2 von 90° +/– 5°. Bei einer noch weiteren Ausführungsform schneiden sich die Ebene, die durch die Seitenwand S3 der funktionalen Gate-Struktur75 definiert wird, und eine Ebene, die durch eine obere Fläche der dielektrischen Fläche4 definiert wird, in einem Winkel α2 von 90°. - Bei einigen Ausführungsformen steht bei dem unter Bezugnahme auf die
1 bis4C und die11 bis17B beschriebenen Verfahren, in dem die dielektrischen Finnenabdeckungen6 von den Finnenstrukturen5 entfernt werden, das zumindest eine funktionale Gate-Dielektrikum76 in direktem Kontakt mit einer Seitenwand und einer oberen Fläche für jede der Finnenstrukturen5 , und bei der Halbleitereinheit, die durch das Verfahren ausgebildet wird, handelt es sich um eine Tri-Gate-Halbleitereinheit. Bei anderen Ausführungsformen steht bei dem unter Bezugnahme auf die1 bis4C und die11 bis17B beschriebenen Verfahren, in dem die dielektrischen Finnenabdeckungen6 nicht von der oberen Fläche der zumindest zwei Finnenstrukturen5 entfernt werden, das zumindest eine funktionale Gate-Dielektrikum76 in direktem Kontakt mit einer Seitenwand für jede der Finnenstrukturen5 und ist durch die dielektrische Finnenabdeckung von einer oberen Fläche für jede der Finnenstrukturen5 getrennt. Bei dieser Ausführungsform handelt es sich bei der Halbleitereinheit um eine FinFET-Halbleitereinheit. - Bei einer weiteren Ausführungsform der vorliegenden Offenbarung wird ein Opferhalbleitermaterial
10 , das epitaktisch auf den Seitenwänden der Finnenstrukturen5 aufgewachsen wird, dazu verwendet, ein Abstandselement80 auszubilden, das sich nur auf den Seitenwänden der funktionalen Gate-Struktur90 befindet und sich nicht auf den Seitenwänden der Finnenstrukturen5 befindet, wie in den1 bis4C und18A bis21B dargestellt. Unter Bezugnahme auf die1 bis4C kann das Verfahren mit einem Ausbilden der Finnenstrukturen5 , die aus einem ersten Halbleitermaterial bestehen, auf einer dielektrischen Fläche4 , einem epitaktischen Ausbilden eines Opferhalbleitermaterials10 aus einem zweiten Halbleitermaterial auf den Finnenstrukturen5 und einem Ausbilden einer Ersatz-Gate-Struktur15 auf einem Kanalabschnitt jeder der Finnenstrukturen5 beginnen.11 stellt des Weiteren ein anisotropes Ätzen des Opferhalbleitermaterials10 dar, das in4C dargestellt wird. Der Ätzprozess zum anisotropen Ätzen des Opferhalbleitermaterials10 kann zumindest gegenüber der Ersatz-Gate-Struktur15 und den Finnenstrukturen5 selektiv sein, sodass sich ein verbleibender Abschnitt des Opferhalbleitermaterials10 unter der Ersatz-Gate-Struktur15 befindet. Die obige Zusammenfassung der in den1 bis4C und11 dargestellten Prozessschritte soll diese Ausführungsform nicht nur auf den zusammengefassten Inhalt beschränken, da der gesamte Prozessablauf für die zuvor unter Bezugnahme auf die1 bis4C und11 beschriebenen Ausführungsformen auf die vorliegende Ausführungsform angewandt werden kann. - Die
18A und18B stellen eine Ausführungsform eines Oxidierens des verbleibenden Abschnitts des in11 dargestellten Opferhalbleitermaterials10 dar, um ein erstes Oxid79 mit einer ersten Dicke T1 (wie von der Seitenwand S4 des verbleibenden Abschnitts des Opferhalbleitermaterials10 aus gemessen) auf dem verbleibenden Abschnitt des Opferhalbleitermaterials10 mit einer größeren Dicke als ein zweites Oxid78 mit einer zweiten Dicke T2 auszubilden, das sich auf den Finnenstrukturen5 befindet.18A ist eine seitliche Querschnittsansicht durch den verbleibenden Abschnitt des Opferhalbleitermaterials10 , und18B ist eine seitliche Querschnittsansicht durch eine der Finnenstrukturen5 . - Die Differenz in der Dicke zwischen dem ersten Oxid
79 , das in18A dargestellt wird, und dem zweiten Oxid78 , das in18B dargestellt wird, ist eine Funktion des Oxidationsprozesses und der Differenz zwischen der Zusammensetzung des Opferhalbleitermaterials10 und der Finnenstrukturen5 . Wenn zum Beispiel das Opferhalbleitermaterial10 aus Silicium-Germanium (SiGe) besteht und die Finnenstrukturen5 aus Silicium (Si) bestehen, führt die höhere Oxidationsgeschwindigkeit des Silicium-Germaniums (SiGe) des Opferhalbleitermaterials10 im Vergleich zu der Oxidationsgeschwindigkeit des Siliciums (Si) der Finnenstrukturen5 zu einem ersten Oxid79 auf dem Opferhalbleitermaterial10 mit einer größeren Dicke als das zweite Oxid80 auf den Finnenstrukturen5 . - Bei dem Oxidationsprozess, der auf die Finnenstrukturen
5 und das Opferhalbleitermaterial10 angewandt wird, kann es sich um einen beliebigen thermischen Oxidationsprozess handeln. Ein Tempern zur thermischen Oxidation kann eine Ofentemperung, eine schnelle thermische Temperung und Kombinationen davon beinhalten. Bei einigen Ausführungsformen kann die thermische Oxidation bei einer Temperatur im Bereich von 800°C bis 1.100°C über einen Zeitraum von 10 Sekunden bis 2 Stunden in einer Sauerstoff enthaltenden Umgebung ausgeführt werden. Bei einer Ausführungsform beinhaltet die Umgebung für die eingesetzte thermische Oxidation ein Sauerstoff enthaltendes Gas wie zum Beispiel O2, Luft, Ozon, NO, NO2 und sonstige ähnliche Sauerstoff enthaltende Gase. Gemische aus den zuvor genannten Sauerstoff enthaltenden Gasen werden hierin ebenfalls in Betracht gezogen. Das Sauerstoff enthaltende Gas kann allein verwendet werden, oder ihm kann ein Inertgas wie zum Beispiel He, Ar, N2, Kr, Xe oder Gemische davon beigemischt werden. - Unter Bezugnahme auf
18A kann es sich bei einer Ausführungsform bei dem ersten Oxid79 , das auf dem Opferhalbleitermaterial10 ausgebildet wird, um ein Germanium enthaltendes Oxid handeln. Beispielsweise kann das Opferhalbleitermaterial10 aus Silicium (Si), Germanium (Ge) und Sauerstoff (O) bestehen. Bei einer Ausführungsform kann der Siliciumgehalt im Bereich von 20 AT% bis 33 AT% liegen, der Germaniumgehalt kann im Bereich von 0 AT% bis 20 AT% liegen, und der Sauerstoffgehalt kann im Bereich von 60 AT% bis 67 AT% liegen. Bei einer weiteren Ausführungsform kann der Siliciumgehalt im Bereich von 25 AT% bis 33 AT% liegen, der Germaniumgehalt kann im Bereich von 0 AT% bis 10 AT% liegen, und der Sauerstoffgehalt kann im Bereich von 65 AT% bis 67 AT% liegen. Die Dicke des ersten Oxids79 kann im Bereich von 5 nm bis 20 nm liegen. Bei einer weiteren Ausführungsform kann die Dicke des ersten Oxids79 im Bereich von 5 nm bis 10 nm liegen. - Unter Bezugnahme auf
18B kann es sich bei einer Ausführungsform bei dem zweiten Oxid78 , das auf der Finnenstruktur5 ausgebildet wird, um Siliciumoxid handeln. Der Siliciumgehalt kann im Bereich von 25 AT% bis 33 AT% liegen, und der Sauerstoffgehalt kann im Bereich von 60 AT% bis 67 AT% liegen. Das zweite Oxid78 enthält üblicherweise kein Germanium (Ge). Die Dicke des zweiten Oxids78 kann im Bereich von 2 nm bis 10 nm liegen. Bei einer weiteren Ausführungsform kann die Dicke des zweiten Oxids78 im Bereich von 2 nm bis 5 nm liegen. -
19 ist eine seitliche Querschnittsansicht durch eine der Finnenstrukturen5 , die eine Ausführungsform eines Entfernens des zweiten Oxids78 von der in18B dargestellten Struktur darstellt. Bei einer Ausführungsform ist der Ätzprozess zum Entfernen des zweiten Oxids78 zumindest gegenüber den Finnenstrukturen5 selektiv. Bei einigen Ausführungsformen kann der Ätzprozess zum Entfernen des zweiten Oxids78 auch gegenüber der Ersatz-Gate-Struktur15 und der dielektrischen Fläche4 selektiv sein. Bei dem Ätzprozess zum Entfernen des zweiten Oxids78 kann es sich um einen isotropen Ätzprozess wie zum Beispiel eine Nassätzung in einer HF enthaltenden Lösung handeln. Der Ätzprozess zum Entfernen des zweiten Oxids78 ist üblicherweise eine zeitlich festgelegte Ätzung. Aufgrund der größeren Dicke des ersten Oxids79 kann das zweite Oxid78 vollständig entfernt werden, wohingegen zumindest ein Abschnitt des ersten Oxids79 verbleibt, um das Abstandselement80 bereitzustellen, das sich auf den Seitenwänden der nachfolgend ausgebildeten funktionalen Gate-Struktur befindet, wie in den20B und21B dargestellt. Die von dem ersten Oxid entfernte Dicke79 , d. h. die geätzte Menge, die aus dem Ätzprozess resultiert, der das zweite Oxid78 entfernt, kann im Bereich von 2 nm bis 10 nm liegen. Bei einer Ausführungsform kann die von dem ersten Oxid entfernte Dicke79 , d. h. die geätzte Menge, die aus dem Ätzprozess resultiert, der das zweite Oxid78 entfernt, im Bereich von 2 nm bis 5 nm liegen. - Die
20A und20B stellen eine Ausführungsform eines Ausbildens eines Source- und Drain-Bereichs85 aus einem epitaktischen Halbleitermaterial, der sich von einer ersten Seitenwand einer ersten Finnenstruktur5 zu einer zweiten Seitenwand einer angrenzenden Finnenstruktur5 erstreckt, und eines Ausbildens einer Zwischenebenen-Dielektrikumschicht90 über einem freigelegten Abschnitt der Finnenstrukturen5 dar, die in19 dargestellt sind.20A ist eine seitliche Querschnittsansicht durch eine der Finnenstrukturen5 , und20B ist eine seitliche Querschnittsansicht durch das Opferhalbleitermaterial10 . Die Source- und Drain-Bereiche85 aus dem epitaktischen Halbleitermaterial ähneln den Source- und Drain-Bereichen55 aus dem epitaktischen Halbleitermaterial, die unter Bezugnahme auf15 beschrieben werden. Daher ist die Beschreibung der Source- und Drain-Bereiche55 aus dem epitaktischen Halbleitermaterial, die in15 dargestellt sind, für die Source- und Drain-Bereiche85 aus dem epitaktischen Halbleitermaterial geeignet, die in den20A und20B dargestellt sind. Die Zwischenebenen-Dielektrikumschicht90 ähnelt der Zwischenebenen-Dielektrikumschicht20 , die in3A dargestellt ist. Daher ist die obige Beschreibung der Zwischenebenen-Dielektrikumschicht20 , die in3A dargestellt ist, für die Zwischenebenen-Dielektrikumschicht90 geeignet, die in den20A und20B dargestellt ist. - Die
21A und21B stellen eine Ausführungsform eines Entfernens der Ersatz-Gate-Struktur15 und des verbleibenden Abschnitts des Opferhalbleitermaterials10 , um eine erste Öffnung, die die Finnenstrukturen5 freilegt, und eine zweite Öffnung auszubilden, die die dielektrische Fläche4 freilegt, und eines Ausbildens einer funktionalen Gate-Struktur95 in der ersten und der zweiten Öffnung dar, die sich in direktem Kontakt mit einem Kanalabschnitt der Finnenstrukturen5 befindet.21A ist eine seitliche Querschnittsansicht durch die Finnenstrukturen5 , und21B ist eine seitliche Querschnittsansicht durch den Abschnitt der Struktur, aus dem das Opferhalbleitermaterial entfernt worden ist. Die Ersatz-Gate-Struktur15 kann mit einer Ätzung entfernt werden, die selektiv gegenüber den Finnenstrukturen5 , dem dielektrischen Abstandselement80 und der Zwischenebenen-Dielektrikumschicht60 ist, um die erste Öffnung bereitzustellen. Bei einigen Ausführungsformen kann nach dem Entfernen der Ersatz-Gate-Struktur15 der verbleibende Abschnitt des Opferhalbleitermaterials10 entfernt werden, um die zweite Öffnung bereitzustellen. Bei einer Ausführungsform kann der verbleibende Abschnitt des Opferhalbleitermaterials10 mit einer Ätzung entfernt werden, die selektiv gegenüber den Finnenstrukturen5 , dem dielektrischen Abstandselement80 , der dielektrischen Fläche4 und der Zwischenebenen-Dielektrikumschicht90 ist. Weitere Einzelheiten bezüglich der Ätzprozesse zum Entfernen der Ersatz-Gate-Struktur15 und des verbleibenden Opferhalbleitermaterials10 werden unter Bezugnahme auf die vorherigen Ausführungsformen erörtert. - Die funktionale Gate-Struktur
95 , die das zumindest eine funktionale Gate-Dielektrikum96 und den zumindest einen funktionalen Gate-Leiter97 beinhaltet, die in den21A und21B dargestellt ist, ähnelt der funktionalen Gate-Struktur35 , die das zumindest eine funktionale Gate-Dielektrikum36 und den zumindest einen funktionalen Gate-Leiter77 beinhaltet, die oben unter Bezugnahme auf die8A bis8C beschrieben worden ist. Daher ist die obige Beschreibung der funktionalen Gate-Struktur35 , die in den8A bis8C dargestellt ist, für die in den21A und21B dargestellte funktionale Gate-Struktur95 geeignet. - Unter Bezugnahme auf die
21A und21B kann sich das dielektrische Abstandselement80 , z. B. das dielektrische Abstandselement80 , das aus einem Germanium enthaltenden Oxid besteht, von einer ersten Finnenstruktur5 , z. B. der Finnenstruktur5 , die aus Silicium besteht, zu einer angrenzenden Finnenstruktur5 , z. B. der Finnenstruktur5 , die aus Silicium besteht, erstrecken. Das dielektrische Abstandselement80 befindet sich nur auf den Seitenwänden der funktionalen Gate-Struktur95 , befindet sich jedoch nicht auf den Seitenwänden der Finnenstrukturen5 . Das dielektrische Abstandselement80 steht mit der zumindest einen funktionalen Gate-Dielektrikumschicht96 der funktionalen Gate-Struktur95 in direktem Kontakt. Das dielektrische Abstandselement80 kann eine obere Fläche aufweisen, die mit einer oberen Fläche der Finnenstrukturen5 im Wesentlichen koplanar ist. Unter Bezugnahme auf21B ist bei einer Ausführungsform die Seitenwand S4 der funktionalen Gate-Struktur95 im Wesentlichen senkrecht zu der oberen Fläche der dielektrischen Fläche4 , wobei sich die Ebene, die durch die Seitenwand S4 der funktionalen Gate-Struktur95 definiert wird, und eine Ebene, die durch eine obere Fläche der dielektrischen Fläche4 definiert wird, in einem Winkel α3 von 90° +/– 10° schneiden. Bei einer weiteren Ausführungsform schneiden sich die Ebene, die durch die Seitenwand S4 der funktionalen Gate-Struktur95 definiert wird, und eine Ebene, die durch eine obere Fläche der dielektrischen Fläche4 definiert wird, in einem Winkel α3 von 90° +/– 5°. Bei einer noch weiteren Ausführungsform schneiden sich die Ebene, die durch die Seitenwand S4 der funktionalen Gate-Struktur95 definiert wird, und eine Ebene, die durch eine obere Fläche der dielektrischen Fläche4 definiert wird, in einem Winkel α3 von 90°. - Bei einigen Ausführungsformen steht bei dem unter Bezugnahme auf die
1 bis4C ,11 und18A bis21B beschriebenen Verfahren, in dem die dielektrischen Finnenabdeckungen6 von den Finnenstrukturen5 entfernt werden, das zumindest eine funktionale Gate-Dielektrikum96 in direktem Kontakt mit einer Seitenwand und einer oberen Fläche für jede der Finnenstrukturen5 , und bei der Halbleitereinheit, die durch das Verfahren ausgebildet wird, handelt es sich um eine Tri-Gate-Halbleitereinheit. Bei anderen Ausführungsformen steht bei dem unter Bezugnahme auf die1 bis4C ,11 und18A bis21B beschriebenen Verfahren, in dem die dielektrischen Finnenabdeckungen6 nicht von der oberen Fläche der Finnenstrukturen5 entfernt werden, das zumindest eine funktionale Gate-Dielektrikum96 in direktem Kontakt mit einer Seitenwand für jede der Finnenstrukturen5 und ist durch die dielektrische Finnenabdeckung von einer oberen Fläche für jede der Finnenstrukturen5 getrennt. Bei dieser Ausführungsform handelt es sich bei der Halbleitereinheit um eine FinFET-Halbleitereinheit. - Die vorliegende Offenbarung ist zwar insbesondere in Bezug auf bevorzugte Ausführungsformen derselben dargestellt und beschrieben worden, für Fachleute versteht es sich jedoch, dass die obigen und andere Änderungen in Formen und Einzelheiten vorgenommen werden können, ohne vom Umfang der vorliegenden Erfindung abzuweichen. Die vorliegende Erfindung soll daher nicht auf die genauen beschriebenen und veranschaulichten Formen und Einzelheiten beschränkt werden, sondern soll in den Umfang der beigefügten Ansprüche fallen.
Claims (31)
- Verfahren zum Fertigen einer Halbleitereinheit, das aufweist: Ausbilden eines epitaktischen Halbleitermaterials auf Seitenwänden zumindest einer Finnenstruktur, die sich auf einer Substratfläche befindet, wobei die zumindest eine Finnenstruktur eine erste Zusammensetzung aufweist, die sich von einer zweiten Zusammensetzung des epitaktischen Halbleitermaterials unterscheidet; Ausbilden einer Ersatz-Gate-Struktur auf einem Kanalabschnitt der zumindest einen Finnenstruktur; Ausbilden einer Zwischenebenen-Dielektrikumschicht über einem freigelegten Abschnitt der zumindest einen Finnenstruktur, wobei die Zwischenebenen-Dielektrikumschicht eine obere Fläche aufweist, die mit einer oberen Fläche der Ersatz-Gate-Struktur koplanar ist; Entfernen der Ersatz-Gate-Struktur mit einer Ätzung, die gegenüber der zumindest einen Finnenstruktur, dem epitaktischen Halbleitermaterial und der Zwischenebenen-Dielektrikumschicht selektiv ist, wobei das Entfernen der Ersatz-Gate-Struktur eine erste Öffnung zu der zumindest einen Finnenstruktur bereitstellt; Entfernen eines freigelegten Abschnitts des epitaktischen Halbleitermaterials mit einer anisotropen Ätzung, um eine zweite Öffnung bereitzustellen, die auf der Substratfläche endet; und Ausbilden einer funktionalen Gate-Struktur, die zumindest einen Abschnitt der ersten Öffnung und der zweiten Öffnung füllt.
- Verfahren nach Anspruch 1, wobei die zumindest eine Finnenstruktur zumindest zwei Finnenstrukturen beinhaltet.
- Verfahren nach Anspruch 2, wobei das Ausbilden des epitaktischen Halbleitermaterials auf den Seitenwänden zumindest einer Finnenstruktur ein epitaktisches Aufwachsen der zweiten Zusammensetzung des Halbleitermaterials auf den Seitenwänden der zumindest zwei Finnenstrukturen aufweist, wobei sich die zweite Zusammensetzung des Halbleitermaterials von einer ersten Finnenstruktur zu einer angrenzenden zweiten Finnenstruktur erstreckt.
- Verfahren nach Anspruch 3, wobei sich eine dielektrische Finnenabdeckung auf jeder der zumindest zwei Finnenstrukturen befindet, wobei die dielektrische Finnenabdeckung vor dem Ausbilden der Ersatz-Gate-Struktur entfernt wird.
- Verfahren nach Anspruch 4, wobei eine obere Fläche jeder der zumindest zwei Finnenstrukturen von einer oberen Fläche des epitaktischen Halbleitermaterials um eine Größenordnung von nicht mehr als 10 nm vertikal versetzt ist.
- Verfahren nach Anspruch 1, wobei das Verfahren nach dem Ausbilden der zweiten Öffnung und vor dem Ausbilden der Ersatz-Gate-Struktur des Weiteren aufweist: Anwenden einer isotropen Ätzung auf die zweite Öffnung, die zumindest gegenüber der Zwischenebenen-Dielektrikumschicht selektiv ist, wobei die isotrope Ätzung eine Breite der zweiten Öffnung vergrößert, um einen Unterätzungsbereich bereitzustellen, der unter der Zwischenebenen-Dielektrikumschicht liegt; Abscheiden einer konformen dielektrischen Schicht mit einer ersten Dielektrizitätskonstante innerhalb der zweiten Öffnung im Anschluss an die isotrope Ätzung; und anisotropes Ätzen der konformen dielektrischen Schicht, wobei sich ein verbleibender Abschnitt der konformen dielektrischen Schicht in dem Unterätzungsbereich befindet und ein dielektrisches Abstandselement bereitstellt.
- Verfahren nach Anspruch 6, wobei das Ausbilden der funktionalen Gate-Struktur ein Füllen zumindest eines Abschnitts der ersten Öffnung und der zweiten Öffnung aufweist, wobei die funktionale Gate-Struktur ein funktionales Gate-Dielektrikum mit einer zweiten Dielektrizitätskonstante beinhaltet, wobei die zweite Dielektrizitätskonstante größer als die erste Dielektrizitätskonstante ist.
- Halbleitereinheit, die aufweist: zumindest zwei Finnenstrukturen, die sich auf einer Substratfläche befinden; eine Gate-Struktur, die sich auf den zumindest zwei Finnenstrukturen befindet, wobei die Gate-Struktur zumindest ein High-k-Gate-Dielektrikum, das zumindest mit den Seitenwänden der beiden Finnenstrukturen in direktem Kontakt steht, und zumindest einen Gate-Leiter auf dem zumindest einen High-k-Gate-Dielektrikum beinhaltet; ein dielektrisches Abstandselement mit einer Dielektrizitätskonstante, die kleiner als die Dielektrizitätskonstante des High-k-Gate-Dielektrikums ist, wobei sich das dielektrische Abstandselement von einer ersten Finnenstruktur zu einer angrenzenden Finnenstruktur erstreckt und eine obere Fläche aufweist, die im Wesentlichen mit einer oberen Fläche der zumindest zwei Finnenstrukturen koplanar ist, wobei das dielektrische Abstandselement mit dem zumindest einen High-k-Gate-Dielektrikum der Gate-Struktur in direktem Kontakt steht; und ein epitaktisches Halbleitermaterial, das mit den zumindest zwei Finnenstrukturen in direktem Kontakt steht und durch das dielektrische Abstandselement von der Gate-Struktur getrennt ist.
- Halbleitereinheit nach Anspruch 8, wobei die Gate-Struktur eine Seitenwand aufweist, die im Wesentlichen senkrecht zu der Substratfläche ist.
- Halbleitereinheit nach Anspruch 8, wobei das epitaktische Halbleitermaterial einen Source-Bereich und einen Drain-Bereich für die Halbleitereinheit bereitstellt.
- Halbleitereinheit nach Anspruch 8, wobei das zumindest eine High-k-Gate-Dielektrikum mit einer Seitenwand und einer oberen Fläche für jede der zumindest zwei Finnenstrukturen in direktem Kontakt steht und es sich bei der Halbleitereinheit um eine Tri-Gate-Halbleitereinheit handelt.
- Halbleitereinheit nach Anspruch 9, wobei sich eine dielektrische Finnenabdeckung auf der oberen Fläche jeder der zumindest zwei Finnenstrukturen befindet, das zumindest eine High-k-Gate-Dielektrikum mit einer Seitenwand für jede der zumindest zwei Finnenstrukturen in direktem Kontakt steht und durch die dielektrische Finnenabdeckung von einer oberen Fläche für jede der zumindest zwei Finnenstrukturen getrennt ist und es sich bei der Halbleitereinheit um eine FinFET-Halbleitereinheit handelt.
- Verfahren zum Fertigen einer Halbleitereinheit, das aufweist: epitaktisches Ausbilden eines Opferhalbleitermaterials auf zumindest zwei Finnenstrukturen, wobei sich das Opferhalbleitermaterial von einer ersten Seitenwand einer ersten Finnenstruktur zu einer zweiten Seitenwand einer angrenzenden Finnenstruktur der zumindest zwei Finnenstrukturen erstreckt; Ausbilden einer Ersatz-Gate-Struktur auf einem Kanalabschnitt jeder der zumindest zwei Finnenstrukturen; anisotropes Ätzen des Opferhalbleitermaterials selektiv gegenüber zumindest der Ersatz-Gate-Struktur und den zumindest zwei Finnenstrukturen, wobei sich ein verbleibender Abschnitt des Opferhalbleitermaterials unter der Ersatz-Gate-Struktur befindet; Ausbilden eines dielektrischen Abstandselements auf Seitenwänden der Ersatz-Gate-Struktur und des verbleibenden Abschnitts des Opferhalbleitermaterials; Entfernen der Ersatz-Gate-Struktur und des verbleibenden Halbleitermaterials, um eine Öffnung zu einem Kanalabschnitt zu jeder der zumindest zwei Finnenstrukturen bereitzustellen; und Ausbilden einer funktionalen Gate-Struktur in der Öffnung zu dem Kanalabschnitt der zumindest zwei Finnenstrukturen.
- Verfahren nach Anspruch 13, wobei das Opferhalbleitermaterial eine andere Zusammensetzung als die zumindest zwei Finnenstrukturen aufweist.
- Verfahren nach Anspruch 13, wobei das Opferhalbleitermaterial durch einen epitaktischen Aufwachsprozess ausgebildet wird.
- Verfahren nach Anspruch 13, wobei eine obere Fläche jeder der zumindest zwei Finnenstrukturen von einer oberen Fläche des Opferhalbleitermaterials um eine Größenordnung von nicht mehr als 10 nm vertikal versetzt ist.
- Verfahren nach Anspruch 13, wobei das Ausbilden des dielektrischen Abstandselements auf den Seitenwänden der Ersatz-Gate-Struktur und des verbleibenden Abschnitts des Opferhalbleitermaterials aufweist: Abscheiden einer konformen dielektrischen Schicht auf Flächen der Ersatz-Gate-Struktur, der Substratfläche, des verbleibenden Abschnitts des Opferhalbleitermaterials und der zumindest zwei Finnenstrukturen; anisotropes Ätzen der konformen dielektrischen Schicht, wobei sich ein erster verbleibender Abschnitt der konformen dielektrischen Schicht auf den Seitenwänden der Ersatz-Gate-Struktur, den Seitenwänden der zumindest zwei Finnenstrukturen und Seitenwänden des verbleibenden Abschnitts des Opferhalbleitermaterials befindet; und Entfernen des ersten verbleibenden Abschnitts der konformen dielektrischen Schicht von den Seitenwänden der zumindest zwei Finnenstrukturen, wobei sich ein zweiter verbleibender Abschnitt der konformen dielektrischen Schicht auf den Seitenwänden der Ersatz-Gate-Struktur und den Seitenwänden des verbleibenden Abschnitts des Opferhalbleitermaterials befindet, wobei der zweite verbleibende Abschnitt der konformen dielektrischen Schicht das dielektrische Abstandselement bereitstellt.
- Verfahren nach Anspruch 13, wobei nach dem Ausbilden des dielektrischen Abstandselements ein epitaktisches Source- und Drain-Bereichs-Halbleitermaterial ausgebildet wird, das sich von der ersten Seitenwand einer ersten Finnenstruktur zu einer zweiten Seitenwand einer angrenzenden Finnenstruktur der zumindest zwei Finnenstrukturen erstreckt.
- Verfahren nach Anspruch 13, das des Weiteren ein Ausbilden einer Zwischenebenen-Dielektrikumschicht über einem freigelegten Abschnitt der zumindest zwei Finnenstrukturen aufweist, wobei die Zwischenebenen-Dielektrikumschicht eine obere Fläche aufweist, die mit einer oberen Fläche der Ersatz-Gate-Struktur koplanar ist, und das Entfernen der Ersatz-Gate-Struktur und des verbleibenden Halbleitermaterials, um die Öffnung zu dem Kanalabschnitt zu jeder der zumindest zwei Finnenstrukturen bereitzustellen, eine Ätzung aufweist, die zumindest gegenüber den zumindest zwei Finnenstrukturen, dem dielektrischen Abstandselement und der Zwischenebenen-Dielektrikumschicht selektiv ist.
- Verfahren nach Anspruch 19, wobei das Ausbilden der funktionalen Gate-Struktur ein Abscheiden eines High-k-Gate-Dielektrikums auf den Seitenwänden und dem Boden der Öffnung und ein Füllen der Öffnung mit einem Gate-Leitermaterial aufweist, wobei die Seitenwand der funktionalen Gate-Struktur im Wesentlichen senkrecht zu der oberen Fläche der Substratfläche ist, wobei sich die Ebene, die durch die Seitenwand der funktionalen Gate-Struktur definiert wird, und eine Ebene, die durch eine obere Fläche der Substratfläche definiert wird, in einem Winkel von 90° +/– 5° schneiden.
- Halbleitereinheit, die aufweist: zumindest zwei Finnenstrukturen auf einer Substratfläche; eine Gate-Struktur, die sich auf den zumindest zwei Finnenstrukturen befindet, wobei die Gate-Struktur zumindest ein Gate-Dielektrikum, das zumindest mit den Seitenwänden der beiden Finnenstrukturen in direktem Kontakt steht, und zumindest einen Gate-Leiter auf dem zumindest einen Gate-Dielektrikum beinhaltet, wobei die Seitenwand der Gate-Struktur im Wesentlichen senkrecht zu der oberen Fläche der Substratfläche ist, wobei sich die Ebene, die durch die Seitenwand der Gate-Struktur definiert wird, und eine Ebene, die durch eine obere Fläche der Substratfläche definiert wird, in einem Winkel von 90° +/– 5° schneiden; und ein epitaktisches Halbleitermaterial mit den zumindest zwei Finnenstrukturen in direktem Kontakt steht.
- Halbleitereinheit nach Anspruch 21, wobei das epitaktische Halbleitermaterial einen Source-Bereich und einen Drain-Bereich für die Halbleitereinheit bereitstellt.
- Halbleitereinheit nach Anspruch 21, wobei das zumindest eine Gate-Dielektrikum mit einer Seitenwand und einer oberen Fläche für jede der zumindest zwei Finnenstrukturen in direktem Kontakt steht und es sich bei der Halbleitereinheit um eine Tri-Gate-Halbleitereinheit handelt, oder sich eine dielektrische Finnenabdeckung auf der oberen Fläche jeder der zumindest zwei Finnenstrukturen befindet und das zumindest eine Gate-Dielektrikum mit einer Seitenwand für jede der zumindest zwei Finnenstrukturen in direktem Kontakt steht und durch die dielektrische Finnenabdeckung von einer oberen Fläche für jede der zumindest zwei Finnenstrukturen getrennt ist und es sich bei der Halbleitereinheit um eine FinFET-Halbleitereinheit handelt.
- Verfahren zum Ausbilden einer Halbleitereinheit, das aufweist: Ausbilden zumindest zweier Finnenstrukturen, die aus einem ersten Halbleitermaterial bestehen, auf einer Substratfläche; epitaktisches Ausbilden eines Opferhalbleitermaterials eines zweiten Halbleitermaterials auf den zumindest zwei Finnenstrukturen, wobei sich das Opferhalbleitermaterial von einer ersten Seitenwand einer ersten Finnenstruktur zu einer zweiten Seitenwand einer angrenzenden Finnenstruktur der zumindest zwei Finnenstrukturen erstreckt; Ausbilden einer Ersatz-Gate-Struktur auf einem Kanalabschnitt jeder der zumindest zwei Finnenstrukturen; anisotropes Ätzen des Opferhalbleitermaterials selektiv gegenüber zumindest der Ersatz-Gate-Struktur und den zumindest zwei Finnenstrukturen, wobei sich ein verbleibender Abschnitt des Opferhalbleitermaterials unter der Ersatz-Gate-Struktur befindet; Oxidieren zumindest der zumindest zwei Finnenstrukturen und des verbleibenden Abschnitts des Opferhalbleitermaterials, wobei ein erstes Oxid auf dem verbleibenden Abschnitt des Opferhalbleitermaterials eine erste Dicke aufweist und ein zweites Oxid auf den zumindest zwei Finnenstrukturen eine zweite Dicke aufweist, wobei die erste Dicke größer als die zweite Dicke ist; Entfernen des zweiten Oxids; Entfernen der Ersatz-Gate-Struktur und des verbleibenden Halbleitermaterials, um eine Öffnung zu einem Kanalabschnitt zu jeder der zumindest zwei Finnenstrukturen bereitzustellen; und Ausbilden einer funktionalen Gate-Struktur in der Öffnung zu dem Kanalabschnitt der zumindest zwei Finnenstrukturen.
- Verfahren nach Anspruch 24, wobei es sich bei dem ersten zweiten Halbleitermaterial um einen Germanium enthaltenden Halbleiter handelt und es sich bei dem zweiten Halbleitermaterial um einen Silicium enthaltenden Halbleiter handelt, der kein Germanium beinhaltet.
- Verfahren nach Anspruch 24, wobei das Oxidieren zumindest der zumindest zwei Finnenstrukturen und des verbleibenden Abschnitts des Opferhalbleitermaterials eine thermische Temperung in einer Sauerstoff enthaltenden Atmosphäre aufweist.
- Verfahren nach Anspruch 24, wobei das Entfernen des zweiten Oxids eine isotrope Ätzung aufweist, die das zweite Oxid von einer Seitenwandfläche der zumindest zwei Finnenstrukturen entfernt.
- Halbleitereinheit, die aufweist: zumindest zwei Finnenstrukturen; eine Gate-Struktur, die sich auf den zumindest zwei Finnenstrukturen befindet, wobei die Gate-Struktur zumindest ein Gate-Dielektrikum, das zumindest mit den Seitenwänden der beiden Finnenstrukturen in direktem Kontakt steht, und zumindest einen Gate-Leiter auf dem zumindest einen Gate-Dielektrikum beinhaltet; ein dielektrisches Abstandselement aus einem Germanium enthaltenden Oxid, das sich von einer ersten Finnenstruktur zu einer angrenzenden Finnenstruktur erstreckt und eine obere Fläche aufweist, die im Wesentlichen mit einer oberen Fläche der zumindest zwei Finnenstrukturen koplanar ist, wobei das dielektrische Abstandselement mit der Gate-Struktur in direktem Kontakt steht; und ein epitaktisches Halbleitermaterial, das mit den zumindest zwei Finnenstrukturen in direktem Kontakt steht und durch das dielektrische Abstandselement von der Gate-Struktur getrennt ist.
- Halbleitereinheit nach Anspruch 28, wobei die Seitenwände der Gate-Struktur im Wesentlichen senkrecht zu der oberen Fläche einer Substratfläche sind, auf der sich die zumindest zwei Finnenstrukturen befinden, wobei sich die Ebene, die durch die Seitenwand der Gate-Struktur definiert wird, und eine Ebene, die durch eine obere Fläche der Substratfläche definiert wird, in einem Winkel von 90° +/– 5° schneiden.
- Halbleitereinheit nach Anspruch 29, wobei das epitaktische Halbleitermaterial einen Source-Bereich und einen Drain-Bereich für die Halbleitereinheit bereitstellt.
- Halbleitereinheit nach Anspruch 30, wobei das zumindest eine Gate-Dielektrikum mit einer Seitenwand und einer oberen Fläche für jede der zumindest zwei Finnenstrukturen in direktem Kontakt steht und es sich bei der Halbleitereinheit um eine Tri-Gate-Halbleitereinheit handelt, oder sich eine dielektrische Finnenabdeckung auf der oberen Fläche jeder der zumindest zwei Finnenstrukturen befindet und das zumindest eine Gate-Dielektrikum mit einer Seitenwand für jede der zumindest zwei Finnenstrukturen in direktem Kontakt steht und durch die dielektrische Finnenabdeckung von einer oberen Fläche für jede der zumindest zwei Finnenstrukturen getrennt ist und es sich bei der Halbleitereinheit um eine FinFET-Halbleitereinheit handelt.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/448,749 US8652932B2 (en) | 2012-04-17 | 2012-04-17 | Semiconductor devices having fin structures, and methods of forming semiconductor devices having fin structures |
US13/448,749 | 2012-04-17 | ||
PCT/US2013/036854 WO2013158692A1 (en) | 2012-04-17 | 2013-04-17 | Semiconductor devices having fin structures, and methods of forming semiconductor devices having fin structures |
Publications (2)
Publication Number | Publication Date |
---|---|
DE112013000813T5 true DE112013000813T5 (de) | 2014-12-04 |
DE112013000813B4 DE112013000813B4 (de) | 2020-07-16 |
Family
ID=49324327
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE112013000813.0T Active DE112013000813B4 (de) | 2012-04-17 | 2013-04-17 | Verfahren zum Ausbilden von Halbleitereinheiten mit Finnenstrukturen |
Country Status (7)
Country | Link |
---|---|
US (2) | US8652932B2 (de) |
JP (1) | JP2015517220A (de) |
KR (1) | KR20140138264A (de) |
CN (1) | CN104246994B (de) |
DE (1) | DE112013000813B4 (de) |
GB (1) | GB2516194A (de) |
WO (1) | WO2013158692A1 (de) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE102014223603A1 (de) * | 2014-11-19 | 2016-05-19 | Siltronic Ag | Halbleiterscheibe und Verfahren zu deren Herstellung |
DE102016121443A1 (de) * | 2016-07-29 | 2018-02-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dotierung durch Diffusion und Epitaxie-Profilformen |
US10910223B2 (en) | 2016-07-29 | 2021-02-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Doping through diffusion and epitaxy profile shaping |
Families Citing this family (34)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN103531474B (zh) * | 2012-07-02 | 2016-04-20 | 中国科学院微电子研究所 | 半导体器件制造方法 |
US9142400B1 (en) | 2012-07-17 | 2015-09-22 | Stc.Unm | Method of making a heteroepitaxial layer on a seed area |
US8753953B1 (en) * | 2013-03-15 | 2014-06-17 | International Business Machines Corporation | Self aligned capacitor fabrication |
US10644188B2 (en) * | 2013-06-18 | 2020-05-05 | John Farah | Laser epitaxial lift-off GaAs substrate |
US20150024584A1 (en) * | 2013-07-17 | 2015-01-22 | Global Foundries, Inc. | Methods for forming integrated circuits with reduced replacement metal gate height variability |
CN104425601B (zh) * | 2013-08-30 | 2018-02-16 | 中国科学院微电子研究所 | 半导体器件及其制造方法 |
US9040380B2 (en) * | 2013-09-11 | 2015-05-26 | GlobalFoundries, Inc. | Integrated circuits having laterally confined epitaxial material overlying fin structures and methods for fabricating same |
US9048262B2 (en) | 2013-09-20 | 2015-06-02 | International Business Machines Corporation | Multi-fin finFETs with merged-fin source/drains and replacement gates |
US9406547B2 (en) | 2013-12-24 | 2016-08-02 | Intel Corporation | Techniques for trench isolation using flowable dielectric materials |
US20150214369A1 (en) * | 2014-01-27 | 2015-07-30 | Globalfoundries Inc. | Methods of forming epitaxial semiconductor material on source/drain regions of a finfet semiconductor device and the resulting devices |
US9059311B1 (en) * | 2014-03-05 | 2015-06-16 | International Business Machines Corporation | CMOS transistors with identical active semiconductor region shapes |
US9450079B2 (en) * | 2014-04-09 | 2016-09-20 | International Business Machines Corporation | FinFET having highly doped source and drain regions |
US20160002784A1 (en) * | 2014-07-07 | 2016-01-07 | Varian Semiconductor Equipment Associates, Inc. | Method and apparatus for depositing a monolayer on a three dimensional structure |
US9461110B1 (en) | 2015-04-30 | 2016-10-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | FETs and methods of forming FETs |
US9324617B1 (en) * | 2015-05-18 | 2016-04-26 | Globalfoundries Inc. | Methods of forming elastically relaxed SiGe virtual substrates on bulk silicon |
US9362361B1 (en) | 2015-05-18 | 2016-06-07 | Globalfoundries Inc. | Methods of forming elastically relaxed SiGe virtual substrates on bulk silicon |
US10269968B2 (en) * | 2015-06-03 | 2019-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including fin structures and manufacturing method thereof |
US10269651B2 (en) | 2015-07-02 | 2019-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure and method for forming the same |
US10262870B2 (en) | 2015-07-02 | 2019-04-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure and method for forming the same |
US9536980B1 (en) * | 2015-07-28 | 2017-01-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate spacers and methods of forming same |
US9768272B2 (en) | 2015-09-30 | 2017-09-19 | International Business Machines Corporation | Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity |
US10622457B2 (en) | 2015-10-09 | 2020-04-14 | International Business Machines Corporation | Forming replacement low-K spacer in tight pitch fin field effect transistors |
US10032914B2 (en) | 2015-10-20 | 2018-07-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US9431399B1 (en) | 2015-12-15 | 2016-08-30 | International Business Machines Corporation | Method for forming merged contact for semiconductor device |
US9985107B2 (en) | 2016-06-29 | 2018-05-29 | International Business Machines Corporation | Method and structure for forming MOSFET with reduced parasitic capacitance |
US9972695B2 (en) * | 2016-08-04 | 2018-05-15 | International Business Machines Corporation | Binary metal oxide based interlayer for high mobility channels |
US10483168B2 (en) * | 2017-11-15 | 2019-11-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low-k gate spacer and formation thereof |
CN109950152B (zh) * | 2017-12-21 | 2021-12-14 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
US10886182B2 (en) * | 2018-07-31 | 2021-01-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US11069791B2 (en) * | 2018-10-31 | 2021-07-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing semiconductor devices and semiconductor devices |
KR20200120816A (ko) * | 2019-04-12 | 2020-10-22 | 삼성전자주식회사 | 반도체 소자 및 그 제조방법 |
US11417517B2 (en) * | 2019-05-03 | 2022-08-16 | Applied Materials, Inc. | Treatments to enhance material structures |
US11011626B2 (en) | 2019-05-07 | 2021-05-18 | International Business Machines Corporation | Fin field-effect transistor with reduced parasitic capacitance and reduced variability |
US11189728B2 (en) | 2019-09-05 | 2021-11-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
Family Cites Families (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5963817A (en) | 1997-10-16 | 1999-10-05 | International Business Machines Corporation | Bulk and strained silicon on insulator using local selective oxidation |
US7902029B2 (en) | 2002-08-12 | 2011-03-08 | Acorn Technologies, Inc. | Process for fabricating a self-aligned deposited source/drain insulated gate field-effect transistor |
KR100481209B1 (ko) * | 2002-10-01 | 2005-04-08 | 삼성전자주식회사 | 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법 |
US6855990B2 (en) | 2002-11-26 | 2005-02-15 | Taiwan Semiconductor Manufacturing Co., Ltd | Strained-channel multiple-gate transistor |
US7456476B2 (en) | 2003-06-27 | 2008-11-25 | Intel Corporation | Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication |
KR100578130B1 (ko) * | 2003-10-14 | 2006-05-10 | 삼성전자주식회사 | 핀 전계효과 트랜지스터를 위한 다중 실리콘 핀 및 그형성 방법 |
US7091566B2 (en) | 2003-11-20 | 2006-08-15 | International Business Machines Corp. | Dual gate FinFet |
US7060539B2 (en) | 2004-03-01 | 2006-06-13 | International Business Machines Corporation | Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby |
US7300837B2 (en) | 2004-04-30 | 2007-11-27 | Taiwan Semiconductor Manufacturing Co., Ltd | FinFET transistor device on SOI and method of fabrication |
EP1646080B1 (de) | 2004-10-07 | 2014-09-24 | Imec | Ätzung von Strukturen mit hoher Topographie |
US7230287B2 (en) | 2005-08-10 | 2007-06-12 | International Business Machines Corporation | Chevron CMOS trigate structure |
US20070287256A1 (en) | 2006-06-07 | 2007-12-13 | International Business Machines Corporation | Contact scheme for FINFET structures with multiple FINs |
JP4473889B2 (ja) * | 2007-04-26 | 2010-06-02 | 株式会社東芝 | 半導体装置 |
US8124483B2 (en) | 2007-06-07 | 2012-02-28 | Infineon Technologies Ag | Semiconductor devices and methods of manufacture thereof |
US7923337B2 (en) | 2007-06-20 | 2011-04-12 | International Business Machines Corporation | Fin field effect transistor devices with self-aligned source and drain regions |
US8030163B2 (en) | 2007-12-26 | 2011-10-04 | Intel Corporation | Reducing external resistance of a multi-gate device using spacer processing techniques |
WO2009153712A1 (en) | 2008-06-17 | 2009-12-23 | Nxp B.V. | Finfet method and device |
DE102008059646B4 (de) | 2008-11-28 | 2010-12-30 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung eines Halbleiterbauelements als Mehr-Gatetransistor mit Stegen mit einer Länge, die durch die Gateelektrode definiert ist und Halbleiterbauelement |
US7893492B2 (en) * | 2009-02-17 | 2011-02-22 | International Business Machines Corporation | Nanowire mesh device and method of fabricating same |
US8053809B2 (en) | 2009-05-26 | 2011-11-08 | International Business Machines Corporation | Device including high-K metal gate finfet and resistive structure and method of forming thereof |
US8169024B2 (en) | 2009-08-18 | 2012-05-01 | International Business Machines Corporation | Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation |
US8466034B2 (en) * | 2010-03-29 | 2013-06-18 | GlobalFoundries, Inc. | Method of manufacturing a finned semiconductor device structure |
US20110291188A1 (en) * | 2010-05-25 | 2011-12-01 | International Business Machines Corporation | Strained finfet |
US8373239B2 (en) | 2010-06-08 | 2013-02-12 | International Business Machines Corporation | Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric |
-
2012
- 2012-04-17 US US13/448,749 patent/US8652932B2/en active Active
-
2013
- 2013-04-17 WO PCT/US2013/036854 patent/WO2013158692A1/en active Application Filing
- 2013-04-17 GB GB1419623.2A patent/GB2516194A/en not_active Withdrawn
- 2013-04-17 JP JP2015507129A patent/JP2015517220A/ja not_active Ceased
- 2013-04-17 DE DE112013000813.0T patent/DE112013000813B4/de active Active
- 2013-04-17 KR KR1020147028278A patent/KR20140138264A/ko not_active Application Discontinuation
- 2013-04-17 CN CN201380020206.4A patent/CN104246994B/zh not_active Expired - Fee Related
- 2013-11-15 US US14/081,320 patent/US9219139B2/en not_active Expired - Fee Related
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE102014223603A1 (de) * | 2014-11-19 | 2016-05-19 | Siltronic Ag | Halbleiterscheibe und Verfahren zu deren Herstellung |
DE102014223603B4 (de) | 2014-11-19 | 2018-05-30 | Siltronic Ag | Halbleiterscheibe und Verfahren zu deren Herstellung |
DE102016121443A1 (de) * | 2016-07-29 | 2018-02-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dotierung durch Diffusion und Epitaxie-Profilformen |
US10910223B2 (en) | 2016-07-29 | 2021-02-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Doping through diffusion and epitaxy profile shaping |
US11171003B2 (en) | 2016-07-29 | 2021-11-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Doping through diffusion and epitaxy profile shaping |
Also Published As
Publication number | Publication date |
---|---|
KR20140138264A (ko) | 2014-12-03 |
GB201419623D0 (en) | 2014-12-17 |
GB2516194A (en) | 2015-01-14 |
US20140070332A1 (en) | 2014-03-13 |
WO2013158692A1 (en) | 2013-10-24 |
JP2015517220A (ja) | 2015-06-18 |
US9219139B2 (en) | 2015-12-22 |
US20130270655A1 (en) | 2013-10-17 |
US8652932B2 (en) | 2014-02-18 |
CN104246994A (zh) | 2014-12-24 |
DE112013000813B4 (de) | 2020-07-16 |
CN104246994B (zh) | 2017-09-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE112013000813B4 (de) | Verfahren zum Ausbilden von Halbleitereinheiten mit Finnenstrukturen | |
DE102019205650B4 (de) | Struktur für einen Feldeffekttransistor und Verfahren | |
DE102017123950B4 (de) | Finfet-bauelement und verfahren zur herstellung desselben | |
DE112017002600B4 (de) | Verfahren zum ausbilden von vertikalen fet-einheiten mit mehreren kanallängen | |
DE112013001404B4 (de) | Verfahren zum Verhindern eines Kurzschließens von benachbarten Einheiten | |
DE102014204114B4 (de) | Transistor mit einer Gateelektrode, die sich rund um ein oder mehrere Kanalgebiete erstreckt, und Verfahren zu seiner Herstellung | |
DE102018202897A1 (de) | Austauschmetallgatestrukturierung für Nanosheet-Vorrichtungen | |
DE102020107101B3 (de) | Verfahren zur Herstellung einer Halbleitervorrichtung | |
DE102017117795A1 (de) | Fets und verfahren zu deren herstellung | |
DE112013001158B4 (de) | Nichtplanare Halbleitereinheit und Verfahren zum Bilden dieser | |
DE102014200840B4 (de) | Verfahren zur Herstellung einer Halbleiterstruktur mit einem vertikalen Nanodraht | |
DE102018124749A1 (de) | Strukturen und Verfahren zur Rauschisolation in Halbleitervorrichtungen | |
DE102019206553A1 (de) | Halbleitervorrichtung mit verbesserter Gate-Source/Drain-Metallisierungsisolation | |
DE112018001590T5 (de) | Einheit mit einem extrem langen Kanal innerhalb einer VFET-Bauart | |
DE102018108176A1 (de) | Asymmetrische Source- und Drain-Strukturen in Halbleitervorrichtungen | |
DE102021100720A1 (de) | Kontakte für halbleitervorrichtungen und verfahren zu deren herstellung | |
DE112022000493T5 (de) | Nanosheet-metall-oxid-halbleiter-feldeffekttransistor mit asymmetrischer schwellenspannung | |
DE102017126881B4 (de) | FinFET-Strukturen und Verfahren zu ihrer Ausbildung | |
DE102017123359B4 (de) | Finnen-feldeffekttransistor-bauelement und verfahren | |
DE102021109770A1 (de) | Hybrid-halbleitervorrichtung | |
DE102021105733A1 (de) | Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben | |
DE102019209318A1 (de) | Integrierte Einzeldiffusionsunterbrechung | |
DE102021102596B4 (de) | Halbleitervorrichtung und verfahren | |
DE102021131415A1 (de) | Nanosheet-transistoren mit umgreifendem kontakt | |
DE102018124815B4 (de) | FIN-Feldeffekttransistorbauteil und Verfahren |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
R012 | Request for examination validly filed | ||
R083 | Amendment of/additions to inventor(s) | ||
R081 | Change of applicant/patentee |
Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, NY, US Owner name: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES , US Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US Owner name: GLOBALFOUNDRIES INC., KY Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US |
|
R082 | Change of representative |
Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE |
|
R081 | Change of applicant/patentee |
Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US Free format text: FORMER OWNER: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US Owner name: GLOBALFOUNDRIES INC., KY Free format text: FORMER OWNER: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US |
|
R082 | Change of representative |
Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE |
|
R016 | Response to examination communication | ||
R016 | Response to examination communication | ||
R016 | Response to examination communication | ||
R018 | Grant decision by examination section/examining division | ||
R081 | Change of applicant/patentee |
Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY |
|
R082 | Change of representative |
Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE |
|
R020 | Patent grant now final |