DE112010002199T5 - Brausekopf für eine Vakuumschichtabscheidungsvorrichtung - Google Patents

Brausekopf für eine Vakuumschichtabscheidungsvorrichtung Download PDF

Info

Publication number
DE112010002199T5
DE112010002199T5 DE112010002199T DE112010002199T DE112010002199T5 DE 112010002199 T5 DE112010002199 T5 DE 112010002199T5 DE 112010002199 T DE112010002199 T DE 112010002199T DE 112010002199 T DE112010002199 T DE 112010002199T DE 112010002199 T5 DE112010002199 T5 DE 112010002199T5
Authority
DE
Germany
Prior art keywords
gas
reactive gas
reactive
module
showerhead
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112010002199T
Other languages
English (en)
Other versions
DE112010002199B4 (de
Inventor
Man Cheol Han
Seok Woo Lee
Chulsoo Byun
Il Yong Chung
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Korea Institute of Industrial Technology KITECH
Original Assignee
Korea Institute of Industrial Technology KITECH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Korea Institute of Industrial Technology KITECH filed Critical Korea Institute of Industrial Technology KITECH
Publication of DE112010002199T5 publication Critical patent/DE112010002199T5/de
Application granted granted Critical
Publication of DE112010002199B4 publication Critical patent/DE112010002199B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles

Abstract

Es wird ein Brausekopf für eine Vakuumschichtabscheidungsvorrichtung mit einem Effekt, der die Länge von Injektionsröhren für ein Reaktivgas verkürzt, präsentiert. Die Injektionsröhren erstrecken sich von dem Boden eines Brausekopfmoduls für Reaktivgas und zwei verschiedene Arten von Reaktivgasen werden mit einem Injektionsunterstützungsgas in einem reaktiven Brausekopfmodul gemischt, um so das gemischte Gas einzublasen. Der Brausekopf für eine Vakuumschichtabscheidungsvorrichtung umfasst das Brausekopfmodul für Reaktivgas über einem Kühlmantel und ein Brausekopfmodul für Spülgas über dem Brausekopfmodul für Reaktivgas. Die Injektionsröhren des Brausekopfmoduls für Reaktivgas passieren durch den Kühlmantel, der unterhalb des Brausekopfmoduls für Reaktivgas angeordnet ist, und die Injektionsröhren des Brausekopfmoduls für Spülgas passieren durch das Brausekopfmodul für Reaktivgas, das unter dem Brausekopfmodul für Spülgas angeordnet ist, wodurch dem Spülgas ermöglicht wird in einen Spülgasumverteilungsraum zu strömen, der über dem Kühlmantel definiert ist.

Description

  • Technisches Gebiet
  • Die vorliegende Erfindung betrifft einen Duschkopf bzw. einen Brausekopf für eine Vakuumschichtabscheidungsvorrichtung bzw. eine Vakuumfilmabscheidungsvorrichtung, insbesondere einen Brausekopf für eine Vakuumschichtabscheidungsvorrichtung, die während eines Schichtabscheidungsprozesses, wie etwa chemische Dampfabscheidung, ein Prozessgas gleichmäßig und stabil auf ein Substrat speist und somit die Qualität der gebildeten Schicht verbessert und die unerwünschte Abscheidung von Partikeln verhindert.
  • Technischer Hintergrund
  • Als Antwort auf die fortlaufende Hochintegration von Halbleitervorrichtungen wird das Verlangen stärker Prozessparameter während der Fabrikation von Halbleitervorrichtungen, einschließlich eines Schichtabscheidungsprozesses, präziser zu steuern. Viele Versuche werden unternommen, um Prozesszuverlässigkeit zwischen und innerhalb von Substraten zu erreichen.
  • Insbesondere sind Studien im Gange, um die Prozesszuverlässigkeit bei einer Vorrichtung zu erhöhen, die einen Brausekopf zum Speisen eines Prozessgases während chemischer Dampfabscheidung verwendet, bei der ein Film auf ein Substrat durch eine chemische Oberflächenreaktion abgeschieden wird. Unlängst wurde in dem koreanischen Patent No. 0849929 (im Folgenden als zitiertes Dokument 1 bezeichnet) ein Versuch eine einfache Einstellung von Komponenten in Schichten durch steuern der Injektionsgeschwindigkeit des reaktiven Gases herzustellen eingeführt.
  • Bezogen auf das zitierte Dokument 1 werden ein reaktives Gas und ein Injektionsunterstützungsgas in einem Brausekopf gemischt und auf ein Substrat eingeblasen, bzw. injiziert. Zusätzlich ist es möglich Rückdiffusion des reaktiven Gases in Richtung des Brausekopfs und eine ungewollte Abscheidung von Partikeln, die aus der Reaktion des reaktiven Gases und/oder Nebenproduktgasen an dem Boden des Brausekopfs resultieren, zu minimieren, was durch getrenntes Einblasen eines Spülgases in Richtung des Substrats ermöglicht wird. Zusätzlich ist es auch möglich ringförmige Kontamination am Ausgang der Reaktivgasinjektionsröhren zu unterdrücken indem das Spülgas, während es den Endausgang der Reaktivgasinjektionsröhren umgibt, eingeblasen wird.
  • Hier bezieht sich das Reaktivgas auf ein Quellgas, das eine Komponente einer Schicht, die abgeschieden werden soll, enthält. Das Injektionsunterstützungsgas bezieht sich auf eine Art von Gas, die verwendet wird, um die Injektionsgeschwindigkeit des Reaktivgases einzustellen, indem es im Inneren des Brausekopfs mit dem Reaktivgas gemischt wird, was generell Schutzgase einschließt, die unwahrscheinlich eine chemische Reaktion mit dem Reaktivgas erfahren. Zusätzlich bezieht sich das Spülgas auf ein Gas, das verwendet wird, um die Gesamtflussrate einzustellen und die untere Fläche des Brausekopfs davor schützt, kontaminiert zu werden. Das Spülgas ist nicht notwendigerweise auf Schutzgas beschränkt und kann auch ein anderes Gas sein. Beispiele für das Spülgas sind Ar, N2, O2, He, H2, NH3, AsH3, PH3, oder dergleichen und sie können eine chemische Komponente der abzuscheidenden Schicht umfassen, aber sie zersetzen sich selten in dem Brausekopf oder lassen selten Abscheidungen zurück.
  • Das Spülgas muss sehr gleichmäßig eingeblasen werden. Ansonsten könnte ungewollte Partikelabscheidung auf einigen Abschnitten des Brausekopfs resultieren und eine ungleichmäßige Abscheidung verursachen. Daher ist es sehr wichtig das Spülgas gleichmäßig einzublasen.
  • 1 zeigt die Konfiguration eines Brausekopfs, die in dem zitierten Dokument 1 offenbart ist. Wie in der Abbildung gezeigt, sind zwei Brausekopfmodule für Reaktivgas, bzw. Reaktivgasbrausekopfmodule 110 und 120, ein Brausekopfmodul für Spülgas 130 und ein Kühlmantel 140 nacheinander von oben nach unten gestapelt. 2 zeigt eine Detailansicht der Umgebung von Führungsröhren 131 und 141, durch die Reaktivgasinjektionsröhren 111, die sich von dem Brausekopfmodul für Reaktivgas 110 erstrecken, durch den Kühlmantel 140 passieren. Wie in der Abbildung gezeigt ist es schwer, dass das Spülgas gleichmäßig zu den Führungsröhren 141 und 142 durch bloßes Anordnen eines Spülgasinjektionslochs 132 oder einem Spülgasausgang 133 mit Führungsröhren 142 oder 141, jeweils an dem Kühlmantel 140 angebracht, zu verteilen, wenn im Wesentlichen kein Raum zwischen dem Brausekopfmodul für Spülgas 130, bzw. dem Spülgasbrausekopfmodul 130 und dem Kühlmantel 140 ist.
  • Um das obige Problem zu lösen, schlägt die koreanische Patentanmeldungsveröffentlichung No. 10-2007-0112354 (im Folgenden als zitiertes Dokument 2 bezeichnet) einen Spülgasumverteilungsraum 143 vor mit einer vorbestimmten Dicke, angezeigt durch „h,” zwischen dem Brausekopfmodul für Spülgas 130 und dem Kühlmantel 140, wie in 3 und 4 gezeigt. Jedoch, gemäß dem zitierten Dokument 2, muss die Länge (l1 in 3) der Reaktivgasinjektionsröhren 111 und 121, die mit den Böden der Brausekopfmodule für Reaktivgas 110 und 120 verbunden sind, größer sein als die Höhe (Δ1 in 3) des Brausekopfmoduls für Spülgas 130. Da einige Brausekopfmodule für Reaktivgas 110 und 120 nacheinander auf dem Brausekopfmodul für Spülgas 130 gestapelt sind, steigt die Länge der Reaktivgasinjektionsröhren 111 und 121, die mit dem Boden der Brausekopfmodule für Reaktivgas 110 und 120 verbunden sind, weiter.
  • Die Anwesenheit von Δ1, das grundsätzlich umfasst sein muss, kann Nachteile verursachen, wie etwa ein Verdrehen der Röhren oder Schwierigkeit in der Montage während des Fabrikationsprozesses oder Wartungen des Brausekopfs. Da die Länge der Reaktivgasinjektionsröhren 111 und 121 erhöht ist, kann ein Problem mit dem Zurücklegen einer längeren Strecke von den Brausekopfmodulen für Reaktivgas 110 und 120 zu dem Boden des Kühlmantels 140 resultieren. In einem Beispiel kann es ein großes Druckgefälle schwierig machen das Reaktivgas effizient auf das Substrat zu speisen. Zusätzlich, wenn mehrere Schichten einer Schicht bzw. eines Films aufgetragen werden, kann ein Reaktivgas während des vorhergehenden Schritts in dem Brausekopf bei dem nächsten Schritt verweilen.
  • Zusätzlich, für den Fall, dass die Art des Reaktivgases, das in die Reaktionskammer (nicht gezeigt) eingeführt werden soll, geändert werden muss, geschieht dies in konventionellen Vakuumschichtabscheidungsvorrichtungen für gewöhnlich durch die Manipulation von Ventilen. Also wurde ein Schaltverfahren verwendet. Jedoch gibt es eine Möglichkeit, dass das geänderte Prozessgas zurück in Richtung der ehemaligen Prozessgasleitung fließt. So lange verschiedene Arten von Prozessgasen üblicherweise in einer Prozessgasspeisungsröhre verwendet werden, existiert das Problem der Kontamination immer noch. Daher ist es vorzuziehen, dass eine bestimmte Prozessgasspeisungsleitung für eine Art von Prozessgas verwendet wird.
  • Wenn Prozessgase mit unterschiedlichen Eigenschaften innerhalb des Brausekopfs, der dazu dient das Prozessgas gleichmäßig auf das Substrat einzublasen, gemischt werden, zum Beispiel, wenn Tri-Methyl-Gallium(TMG)-Prozessgas als Galliumquelle verwendet wird und ein NH3-Prozessgas als eine N-Quelle verwendet wird und diese innerhalb des Brausekopfs während des Prozesses des Abscheidens einer GaN-Schicht für eine LED durch MOCVD gemischt werden, kann eine unbeabsichtigte Gasreaktion innerhalb des Brausekopfs auftreten und dabei Partikel bilden.
  • Daher wird in solch einem Fall üblicherweise ein Nachmischverfahren verwendet, d. h. verschiedene Prozessgase passieren durch den Brausekopf ohne zusammengemischt zu werden und werden zwischen dem Brausekopf und dem Substrat zusammengemischt.
  • 1, die die Konfiguration des zitierten Dokuments 1 zeigt, zeigt eine verwandte Technik. Das heißt, ein erstes reaktives Gas, das in das Innere des Brausekopfmoduls für Reaktivgas 110 durch einen Einlass 112 eingeführt wurde, wird mit einem Injektionsunterstützungsgas, das durch einen anderen Einlass 113 in das Innere eingeführt wurde, gemischt, um die Injektionsgeschwindigkeit des Reaktivgases zu regulieren. Das gemischte Gas passiert durch Führungsröhren 122 entlang dem Inneren der Reaktivgasinjektionsröhren 111 und passiert dabei durch das Innere des darunterliegenden Brausekopfmoduls für Reaktivgas 120. Das erste gemischte Gas wird dann in Richtung des Substrats (nicht gezeigt), das in der Reaktionskammer platziert ist, eingeblasen, ohne dass es mit dem zweiten Reaktivgas innerhalb des Brausekopfs gemischt wird.
  • In dieser Konfiguration jedoch kann ein Erhöhen der Anzahl der Reaktivgase, die die Elemente der Schicht bzw. des Films darstellen, in einer komplizierten Struktur des Brausekopfs resultieren. 1 zeigt, dass die zwei Brausekopfmodule für Reaktivgase 110 und 120 in vertikaler Richtung gestapelt sind. Jedoch kann ein stetiges Erhöhen der Anzahl der Brausekopfmodule für Reaktivgas als Reaktion auf ein Erhöhen der Arten der Reaktivgase ein Problem aufgrund des begrenzten Raums, der im Inneren der Reaktionskammer vorgesehen ist, verursachen.
  • Offenbarung
  • Technisches Problem
  • Entsprechend wurde die vorliegende Erfindung unter Beachtung der obigen Probleme gemacht, die im Stand der Technik existieren, und ist zugedacht, um einen Brausekopf für eine Vakuumschichtabscheidungsvorrichtung bereitzustellen, wobei ein Brausekopfmodul für Reaktivgas oberhalb eines Kühlmantels angeordnet ist, während ein Spülgasumverteilungsraum zwischen dem Brausekopfmodul für Reaktivgas und dem Kühlmantel definiert ist, ein Brausekopfmodul für Spülgas oberhalb des Brausekopfmoduls für Reaktivgas angeordnet ist, so dass die Länge von Reaktivgasinjektionsröhren, die von dem Boden des Brausekopfmoduls für Reaktivgas verbunden sind, verringert werden kann, und dabei Probleme, wie etwa Verdrehen der Röhren oder Schwierigkeit in der Montage während des Fabrikationsprozesses oder bei Wartungen des Brausekopfs überwunden werden. Es ist auch möglich die Probleme eines Druckverlusts, der durch eine erhöhte Länge der Reaktivgasinjektionsröhren verursacht werden, Schwierigkeit das Reaktivgas richtig zu speisen und Zurückhalten des Reaktivgases in dem vorhergehenden Prozess innerhalb des Brausekopfs, wenn mehrere Schichten einer Schicht abgeschieden werden, auszuräumen.
  • Die vorliegende Erfindung ist auch zugedacht, um einen Brausekopf für eine Vakuumschichtabscheidungsvorrichtung bereitzustellen, bei der Reaktivgase innerhalb eines Brausekopfmoduls für Reaktivgas zusammengemischt werden, so lange sie ähnliche Eigenschaften haben, so dass sie keine chemische Reaktion eingehen und somit selten Partikel innerhalb des Brausekopfmoduls für Reaktivgas bilden. Als ein Ergebnis kann dies die Anzahl der Brausekopfmodule für Reaktivgas gering halten, obwohl die Arten an Reaktivgasen ansteigt und dadurch können Probleme im Zusammenhang mit der Gesamtkonfiguration und der Montage des Brausekopfs und das Problem, das aus dem begrenzten Raum innerhalb der Reaktionskammer resultiert, gelöst werden.
  • Technische Losung
  • In einem Aspekt stellt die vorliegende Erfindung einen Brausekopf für eine Vakuumschichtabscheidungsvorrichtung bereit, umfassend ein Brausekopfmodul für Reaktivgas, das einen Einlass hat durch den ein Reaktivgas in mehrere Injektionsröhren eingeführt wird, durch die das Reaktivgas einblast wird, ein Brausekopfmodul für Spülgas, das einen Einlass hat, durch den ein Spülgas in mehrere Injektionsröhren eingeführt wird, durch die das Spülgas eingeblasen wird, einen Kühlmantel, der einen Einlass und einen Auslass hat, durch die jeweils ein Kühlmittel eingeführt und abgeführt wird, und einen Spülgasumverteilungsraum, der oberhalb des Kühlmantels definiert ist. Das Brausekopfmodul für Reaktivgas ist oberhalb des Kühlmantels angeordnet, das Brausekopfmodul für Spülgas ist oberhalb des Brausekopfmoduls für Reaktivgas angeordnet, die Injektionsröhren des Brausekopfmoduls für Reaktivgas erstrecken sich durch den darunter befindlichen Kühlmantel und die Injektionsröhren des Brausekopfmoduls für Spülgas erstrecken sich durch das darunter befindliche Brausekopfmodul für Reaktivgas. Das Spülgas, das von den Spülgasinjektionslöchern eingeblasen wurde, wird in den Spülgasumverteilungsraum, der oberhalb des Kühlmantels definiert ist, eingeführt indem es durch das Brausekopfmodul für Reaktivgas passiert. Das Reaktivgas und das Spülgas werden in Richtung des Substrats eingeblasen, nachdem sie durch den Kühlmantel passiert sind ohne dabei mit dem Kühlmittel in dem Kühlmantel in Kontakt zu kommen.
  • Die vorliegende Erfindung ist auch dadurch charakterisiert, dass ein anderes Brausekopfmodul für Reaktivgas weiter zwischen dem Brausekopfmodul für Reaktivgas und dem Brausekopfmodul für Spülgas angeordnet ist, wobei sich Injektionsröhren des Brausekopfmoduls für Reaktivgas, das sich an oberer Position befindet, durch das untere Brausekopfmodul für Reaktivgas und den Kühlmantel erstrecken.
  • Die vorliegende Erfindung ist dadurch charakterisiert, dass ein anderes Brausekopfmodul für Reaktivgas weiter über dem Brausekopfmodul für Spülgas angeordnet ist, wobei sich Injektionsröhren des Brausekopfmoduls für Reaktivgas, das sich an oberer Position befindet, nacheinander durch das Brausekopfmodul für Spülgas, das Brausekopfmodul für Reaktivgas und den Kühlmantel erstrecken.
  • Die vorliegende Erfindung ist auch dadurch charakterisiert, dass das Brausekopfmodul für Reaktivgas erste und zweite Zwischenplatten zwischen oberen und unteren Platten hat, wobei mehrere Reaktivgase in einen Raum zwischen der oberen Platte und der ersten Zwischenplatte durch mehrere Einlässe eingeführt werden, ein Einführungsunterstützungsgas in einen Raum zwischen der ersten und der zweiten Zwischenplatte durch einen Einlass eingeführt wird und die mehreren Reaktivgase mit dem Injektions- bzw. Einführungsunterstützungsgas innerhalb der Mischkammer, die ein Raum zwischen der zweiten Zwischenplatte und der unteren Platte ist, zusammengemischt werden und dabei ein Mischgas bilden.
  • Außerdem ist die vorliegende Erfindung dadurch gekennzeichnet, dass das Brausekopfmodul für Reaktivgas erste bis dritte Zwischenplatten zwischen oberen und unteren Platten aufweist, wobei ein Reaktivgas in einen Raum zwischen der oberen Platte und der ersten Zwischenplatte eingeführt wird, ein anderes Reaktivgas von einer anderen Art in einen Raum zwischen der ersten und der zweiten Zwischenplatte eingeführt wird, ein Injektionsunterstützungsgas in einen Raum zwischen der zweiten und der dritten Zwischenplatte durch einen dritten Einlass eingeführt wird und die mehreren Reaktivgase mit dem Injektionsunterstützungsgas innerhalb der Mischkammer, was ein Raum zwischen der dritten Zwischenplatte und der unteren Platte ist, zusammengemischt werden und dabei ein Mischgas bilden.
  • Vorteilhafte Effekte
  • Wie oben beschrieben ist das Brausekopfmodul für Reaktivgas über dem Kühlmantel angeordnet, das Brausekopfmodul für Spülgas ist über dem Brausekopfmodul für Reaktivgas angeordnet und die Injektionsröhren des Brausekopfmoduls für Reaktivgas erstrecken sich durch den darunter befindlichen Kühlmantel, so dass das Spülgas in den Spülgasumverteilungsraum zwischen dem Brausekopfmodul für Reaktivgas und dem Kühlmantel eingeführt wird. Die Länge der Reaktionsinjektionsröhren, die von dem Boden des Brausekopfmoduls für Reaktivgas verbunden sind, kann daher verkürzt werden. Folglich ist es möglich, Probleme auszuräumen, wie etwa ein Verdrehen der Röhren oder Schwierigkeit in der Montage während des Fabrikationsprozesses oder bei Wartungen des Brausekopfs. Es ist auch möglich die Probleme eines Druckverlusts, die durch eine erhöhte Länge der Reaktivgasinjektionsröhren verursacht werden, Schwierigkeit das Reaktivgas richtig zu speisen und Zurückhalten des Reaktivgases in dem vorhergehenden Prozess innerhalb des Brausekopfs, wenn mehrere Schichten einer Schicht bzw. eines Films abgeschieden werden, auszuräumen.
  • Außerdem können mehrere Reaktivgase durch mehrere Einlässe eines Brausekopfmoduls für Reaktivgas in ein Brausekopfmodul für Reaktivgas eingeführt werden, so lange diese keine chemische Reaktion eingehen und selten Partikel bilden, auch wenn sie in dem Brausekopfmodul für Reaktivgas zusammengemischt werden. Daher können die Reaktivgase mit ähnlichen Eigenschaften eingeblasen werden, indem sie in einem Brausekopfmodul für Reaktivgas zusammengemischt werden. Als ein Ergebnis kann das die Anzahl der Brausekopfmodule für Reaktivgas minimal halten, obwohl die Arten an Reaktivgasen ansteigt, und dadurch können Probleme im Zusammenhang mit der Gesamtkonfiguration und der Montage des Brausekopfs und das Problem, das aus dem begrenzten Raum innerhalb der Reaktionskammer resultiert, gelöst werden.
  • Beschreibung der Zeichnungen
  • 1 ist eine Querschnittskonfigurationsansicht, die einen Brausekopf nach dem Stand der Technik zeigt;
  • 2 ist eine Detailansicht des Teils „A” in 1;
  • 3 ist eine Querschnittskonfigurationsansicht, die einen anderen Typ von Brausekopf nach dem Stand der Technik zeigt;
  • 4 ist eine Detailansicht des Teils „A” in 3;
  • 5 ist eine Querschnittskonfigurationsansicht, die einen Brausekopf gemäß einer ersten Ausführung der vorliegenden Erfindung zeigt;
  • 6 ist eine Querschnittskonfigurationsansicht, die einen Brausekopf gemäß einer zweiten Ausführung der vorliegenden Erfindung zeigt;
  • 7 ist eine Querschnittskonfigurationsansicht, die einen Brausekopf gemäß einer dritten Ausführung der vorliegenden Erfindung zeigt;
  • 8 ist eine Querschnittskonfigurationsansicht, die ein Brausekopfmodul für Reaktivgas eines Brausekopfs gemäß einer vierten Ausführung der vorliegenden Erfindung zeigt;
  • 9 ist eine Querschnittskonfigurationsansicht, die eine Variation des Brausekopfmoduls für Reaktivgas des Brausekopfs gemäß der vierten Ausführung der vorliegenden Erfindung zeigt; und
  • 10 ist eine Querschnittskonfigurationsansicht, die ein Brausekopfmodul für Reaktivgas eines Brausekopfs gemäß einer fünften Ausführung der vorliegenden Erfindung zeigt.
  • Bevorzugte Ausführung
  • Im Folgenden werden bevorzugte Ausführungen der vorliegenden Erfindung detailliert mit Bezug zu den beigefügten Zeichnungen beschrieben. 5 zeigt eine erste Ausführung eines Brausekopfs für eine Vakuumschichtabscheidungsvorrichtung gemäß der vorliegenden Erfindung, umfassend ein Brausekopfmodul für Spülgas 10, ein Brausekopfmodul für Reaktivgas 20 und einen Kühlmantel 30.
  • Wie in 5 gezeigt, ist der Brausekopf der ersten Ausführung durch nacheinander stapeln eines Brausekopfmoduls für Spülgas 10, eines Brausekopfmoduls für Reaktivgas 20 und eines Kühlmantels 30 in vertikaler Richtung von oben nach unten konstruiert, unter der Annahme, dass eine Art von Reaktivgas und eine Art von Spülgas verwendet werden sollen.
  • Das Brausekopfmodul für Spülgas 10 hat eine Zwischenplatte 13 zwischen einer oberen Platte 11 und einer unteren Platte 12, so dass Spülgas durch einen Einlass 14 in den Raum zwischen der oberen Platte 11 und der Zwischenplatte 13 fließt. Dann betritt das Spülgas über mehrere Löcher 13a in der Zwischenplatte 13 eine Diffusionskammer 15, die sich zwischen der Zwischenplatte 13 und der unteren Platte 12 befindet, dann diffundiert das Spülgas in der Diffusionskammer. Als nächstes wird das Spülgas gleichmäßig durch mehrere Spülgasinjektionsröhren 16 eingeblasen, die hermetisch mit mehreren Injektionslöchern 161 verbunden sind, die in der unteren Platte 12 gebildet sind.
  • Das Brausekopfmodul für Reaktivgas 20 hat erste und zweite Zwischenplatten 23 und 24 zwischen der oberen und der unteren Platte 21 und 22, so dass Reaktivgas in den Raum zwischen der oberen Platte 21 und der ersten Zwischenplatte 23 durch einen Einlass 25 eingeführt wird, ein Injektionsunterstützungsgas in den Raum zwischen der ersten Zwischenplatte 23 und der zweiten Zwischenplatte 24 eingeführt wird und das Reaktivgas und das Injektionsunterstützungsgas in einer Mischkammer 27 zusammengemischt werden, die ein Raum zwischen der zweiten Zwischenplatte 24 und der unteren Platte 22 ist.
  • Das Reaktivgas, das den Raum zwischen der oberen Platte 21 und der ersten Zwischenplatte 23 betreten hat, wird durch Verbindungsröhren 28, die hermetisch mit der Mischkammer 27 über mehrere Löcher, die in der zweiten Zwischenplatte 23 gebildet sind, verbunden sind, in die Mischkammer 27 eingeführt. In Mischkammer 27 werden das Reaktionsgas und das Injektionsunterstützungsgas zusammengemischt, wodurch ein Mischgas gebildet wird. Das Mischgas wird dann gleichmäßig an mehrere Reaktivgasinjektionsröhren 29 verteilt, die hermetisch mit mehreren Löchern verbunden sind, die in der Bodenplatte 22 gebildet sind.
  • Der Kühlmantel 30 hält die Temperatur des Brausekopfs in dem richtigen Bereich, zum Beispiel von 150 bis 200°C, um das Reaktivgas von unbeabsichtigter Partikelbildung abzuhalten, die durch thermische Zersetzung bei einer übermäßig hohen Temperaturbedingung in dem Brausekopf verursacht wird. Der Kühlmantel 30 umfasst eine obere Platte 31 und eine untere Platte 32 und mehrere Führungsröhren 33, die hermetisch zwischen der oberen Platte 31 und der unteren Platte 32 eingefügt sind, durch die die Reaktivgasinjektionsröhren 29 passieren können.
  • Kühlmittel, das durch einen Einlass 34 des Kühlmantels 30 eingeführt wurde, wird in dem inneren Raum verteilt, bzw. dispergiert und verlässt schließlich eine Reaktionskammer (nicht gezeigt), nachdem es einen Auslass 35 passiert hat. Das Kühlmittel kann aus irgendeinem komprimierten Gas, Wasser oder dergleichen ausgewählt werden, aber es ist sehr wichtig zu garantieren, dass das Kühlmittel nicht von dem Kühlmantel 30 in die Reaktionskammer leckt.
  • Die Injektionsröhren 29 des Brausekopfmoduls für Reaktivgas 20 sind so konfiguriert, dass sie von der unteren Platte 32 des Kühlmantels 30 hervorstehen, nachdem sie durch das Innere der Führungsröhren 33 des Kühlmantels 30 passiert sind und dadurch das Reaktivgas in Richtung des Substrats einblasen. Die Injektionsröhren 16 des Brausekopfmoduls für Spülgas 10 erstrecken sich hinab durch das Brausekopfmodul für Reaktivgas 20 und sind dann mit einem Spülgasumverteilungsraum 36 zwischen der unteren Platte 22 des Brausekopfmoduls für Reaktivgas 20 und der oberen Platte 31 des Kühlmantels 30 verbunden, so dass das Spülgas noch einmal gleichmäßig in besagtem Spülgasumverteilungsraum 36 diffundiert und dann wird das Spülgas in Richtung des Substrat entlang dem Inneren der mehreren Verbindungsröhren 37 eingeblasen, die hermetisch zwischen der oberen Platte 31 und der unteren Platte 32 des Kühlmantels 30 genauso wie entlang einer Lücke zwischen der inneren Wand der Führungsröhren 33 und der äußeren Wand der Reaktivgasinjektionsröhren 29 montiert sind. Die Führungsröhren 33 des Kühlmantels 30 müssen für die Führung von Reaktivgasinjektionsröhren montiert sein, aber die Verbindungsröhren 37 müssen nicht.
  • Mit der Konfiguration der ersten Ausführung der vorliegenden Erfindung wie oben erläutert, wie in 5 gezeigt, ist der Spülgasumverteilungsraum 36 zwischen der unteren Platte 22 des Brausekopfmoduls für Reaktivgas 20 und der oberen Platte des Kühlmantels 30 bereitgestellt und das Brausekopfmodul für Spülgas 10 ist über dem Brausekopfmodul für Reaktivgas 20 angeordnet.
  • Daher ist die Länge der Reaktivgasinjektionsröhren 29, die durch „l2” angezeigt ist, verglichen mit der Länge („l1”) der konventionellen Reaktivgasinjektionsröhren 111 und 121 verkürzt.
  • Da die Länge der Reaktivgasinjektionsröhren 29 verringert ist, ist es möglich die Probleme auszuräumen, wie etwa ein Verdrehen der Röhren oder Schwierigkeit in der Montage während des Fabrikationsprozesses oder bei Wartungen des Brausekopfs. Dies kann auch die Probleme, zum Beispiel Druckverlust, der durch eine erhöhte Länge der Reaktivgasinjektionsröhren 29 verursacht wird, Schwierigkeit das Reaktivgas richtig zu speisen oder Zurückhalten des Reaktivgases in dem vorhergehenden Prozess innerhalb des Brausekopfs, wenn mehrere Schichten einer Schicht bzw. eines Films abgeschieden werden, auszuräumen.
  • Die Enden der Spülgasinjektionsröhren 16, die mit mehreren der Spülgasinjektionslöchern 161, die in der unteren Platte 12 des Brausekopfmoduls für Spülgas gebildet sind, verbunden sind, erstrecken sich, um das Innere des Spülgasumverteilungsraums 36 zu erreichen. Jedoch muss die Länge der Spülgasinjektionsröhren 16 nicht dem entsprechen. Vielmehr können die Spülgasinjektionsröhren 16 eher mit den Führungsröhren 20a ausgerichtet sein, die hermetisch in dem unteren Brausekopfmodul für Reaktivgas 20 montiert sind. Dieselbe Funktion kann durchgeführt werden, indem die Spülgasinjektionslöcher 161 des Brausekopfmoduls für Spülgas 10 eher mit den Führungsröhren 20a des unteren Brausekopfmoduls für Reaktivgas 20 ausgerichtet werden ohne die zusätzliche Vorbereitung von Spülgasinjektionsröhren 16.
  • Modus der Erfindung
  • 6 zeigt eine zweite Ausführung eines Brausekopfs für eine Vakuumschichtabscheidungsvorrichtung gemäß der vorliegenden Erfindung, in der, zusätzlich zu der Konfiguration der oben beschriebenen ersten Ausführung, ein anderes Brausekopfmodul für Reaktivgas zusätzlich zwischen dem Brausekopfmodul für Spülgas 10 und dem Brausekopfmodul für Reaktivgas 20 angeordnet ist, Injektionsröhren 49 des oberen Brausekopfmoduls für Reaktivgas 40 sich durch Führungsröhren 20b des unteren Brausekopfmoduls für Reaktivgas 20 und Führungsröhren 30a des Kühlmantels 30 erstrecken, und die Injektionsröhren 16 des Brausekopfmoduls für Spülgas 10 sich durch Führungsröhren 40a und 20a des oberen und des unteren Brausekopfmoduls für Reaktivgas 40 und 20 erstrecken, gefolgt von Verbunden sein mit dem Spülgasumverteilungsraum 36 zwischen der unteren Platte 22 des Brausekopfmoduls für Reaktivgas 20 und der oberen Platte 31 des Kühlmantels 30. Mit dieser Konfiguration kann das Spülgas gleichmäßig erneut in dem Spülgasumverteilungsraum 36 diffundiert werden. Die andere Konfiguration ist ähnlich zu der oben beschriebenen ersten Ausführung.
  • Die Konfiguration der zweiten Ausführung kann effektiv verwendet werden, wenn Arten von Reaktivgasen in dem Schichtabscheidungsprozess erhöht werden. Ein Reaktivgas, das selbst unter einem beträchtlichen Druckabfall keine Übergabeprobleme bzw. Bereitstellungsprobleme verursacht, wird in das obere Brausekopfmodul für Reaktivgas 40 eingeführt, das relativ längere Injektionsröhren 49 aufweist. Im Gegensatz dazu wird ein Reaktivgas, das unerwünschte Einflüsse durch einen Druckabfall erfahren kann, in das untere Brausekopfmodul für Reaktivgas 20 eingeführt, das relativ kürzere Injektionsröhren 29 aufweist.
  • 7 zeigt eine dritte Ausführung des Brausekopfs für eine Vakuumschichtabscheidungsvorrichtung gemäß der vorliegenden Erfindung, in der, zusätzlich zu der Konfiguration der oben beschriebenen ersten Ausführung, ein anderes Brausekopfmodul für Reaktivgas 50 über dem Brausekopfmodul für Spülgas 10 angeordnet ist und Injektionsröhren 59 des oberen Brausekopfmoduls für Reaktivgas 50 sich nacheinander jeweils durch Führungsröhren 10a, 20a und 30a des unteren Brausekopfmoduls für Spülgas 10, des Brausekopfmoduls für Reaktivgas 20 und des Kühlmantels 30 erstrecken. Die andere Konfiguration ist ähnlich zu der oben beschriebenen ersten Ausführung.
  • 8 zeigt eine vierte Ausführung des Brausekopfs für eine Vakuumschichtabscheidungsvorrichtung gemäß der vorliegenden Erfindung, in der, zusätzlich zu der Konfiguration der oben beschriebenen ersten Ausführung, mehrere Reaktivgase in den Raum zwischen der oberen Platte 21 des Brausekopfmoduls für Reaktivgas 20 und der ersten Zwischenplatte 23 durch mehrere Einlässe 25a und 25b eingeführt werden, und ein Injektionsunterstützungsgas in den Raum zwischen der ersten Zwischenplatte 23 und der zweiten Zwischenplatte 24 durch einen Einlass 26 eingeführt wird, wobei dann das Reaktivgas und das Injektionsunterstützungsgas in der Mischkammer 27 zusammengemischt werden, die der Raum zwischen der zweiten Zwischenplatte 24 und der unteren Platte 22 ist. Die andere Konfiguration ist ähnlich zu der in der oben beschriebenen ersten Ausführung.
  • Mit der Konfiguration der oben beschriebenen vierten Ausführung können mehrere Reaktivgase durch eine Vielzahl der Einlässe 25a und 25b eingeführt werden. Daher ist es möglich Probleme, die mit einer unnötigen Erhöhung der Anzahl von Brausekopfmodulen für Reaktivgas 20 verbunden sind, und Probleme, die aus dem begrenzten Raum in der Reaktionskammer resultieren, auszuräumen.
  • Hier ist es wünschenswert, dass die Reaktivgase, die durch die Einlässe 25a und 25b des Brausekopfmoduls für Reaktivgas 20 eingeführt werden, von ähnlicher Art sind und selten Partikel bilden, wenn sie zusammengemischt werden. Dann werden solche Reaktivgase eingeblasen, indem sie in einem Brausekopfmodul für Reaktivgas 20 zusammengemischt werden.
  • 9 zeigt eine Variation der vierten Ausführung der vorliegenden Erfindung, bei der das Brausekopfmodul für Reaktivgas 20 erste und zweite Zwischenplatten 23 und 24 zwischen der oberen Platte 21 und der unteren Platte 22 aufweist. Mit dieser Konfiguration wird ein Injektionsunterstützungsgas durch einen Einlass 26 in den Raum zwischen der oberen Platte 21 und der ersten Zwischenplatte 23 eingeführt, und mehrere Reaktivgase werden durch eine Vielzahl der Einlässe 25a und 25b in den Raum zwischen der ersten und der zweiten Zwischenplatte 23 und 24 eingeführt. Dann werden die Reaktivgase und das Injektionsunterstützungsgas in der Mischkammer 27 zusammengemischt, die der Raum zwischen der zweiten Zwischenplatte 24 und der unteren Platte 22 ist, wodurch ein Mischgas gebildet wird.
  • In dem Brausekopfmodul für Reaktivgas 20, wie diesem, ist es offensichtlich das die vertikale Position eines Raums, in den die Reaktivgase eingeführt werden, und die vertikale Position eines Raums, in den das Injektionsunterstützungsgas eingeführt wird, gegenseitig ausgetauscht werden kann.
  • 10 zeigt eine fünfte Ausführung des Brausekopfs für eine Vakuumschichtabscheidungsvorrichtung gemäß der vorliegenden Erfindung, in der, zusätzlich zu der Konfiguration der oben beschriebenen ersten Ausführung, erste bis dritte Zwischenplatten 23a, 23b und 23c zwischen der oberen Platte 21 und der unteren Platte 22 des Brausekopfmoduls für Reaktivgas 20 gebildet werden, so dass eine Art von Reaktivgas in den Raum zwischen der oberen Platte 21 und der ersten Zwischenplatte 23a durch einen Einlass 26a eingeführt wird, ein anderes Reaktivgas einer anderen Art in den Raum zwischen der ersten und der zweiten Zwischenplatte 23a und 23b durch einen Einlass 26b eingeführt wird und das Injektionsunterstützungsgas in den Raum zwischen der zweiten und der dritten Zwischenplatte 23b und 23c durch einen Einlass 26c eingeführt wird. Dann werden die zwei Arten von Reaktivgasen und das Injektionsunterstützungsgas in der Mischkammer 27 zusammengemischt, die der Raum zwischen der dritten Zwischenplatte 23c und der unteren Platte 22 ist.
  • Zusätzlich wird ein Reaktivgas, das den Raum zwischen der oberen Platte 21 und der ersten Zwischenplatte 23a betreten hat, durch Verbindungsröhren 28a, deren Enden jeweils mit einem Loch in der ersten Zwischenplatte 23a und einem Loch in der dritten Zwischenplatte 23c versiegelt sind, in die Mischkammer 27 eingeführt. Das Spülgas, das den Raum zwischen der zweiten und der dritten Zwischenplatte 23b und 23c betreten hat, wird durch mehrere Löcher 24a in der dritten Zwischenplatte 23c in die Mischkammer 27 eingeführt. Mit dieser Konfiguration werden die zwei Arten von Reaktivgasen und das Injektionsunterstützungsgas in der Mischkammer 27 zusammengemischt, wodurch ein Mischgas gebildet wird. Die andere Konfiguration ist ähnlich zu der oben beschriebenen ersten Ausführung.
  • Die fünfte Ausführung der vorliegenden Erfindung kann dieselben Funktionen ausführen, wie die oben beschriebene vierte Ausführung. In dem Brausekopfmodul für Reaktivgas 20 ist es offensichtlich, dass die vertikale Position eines Raums, in den die Reaktivgase eingeführt werden, und die vertikale Position eines Raums, in den das Injektionsunterstützungsgas eingeführt wird, gegenseitig ausgetauscht werden kann, wie in 8 und 9 gezeigt.
  • Während die vorliegende Erfindung mit Bezug zu einigen exemplarischen Ausführungen davon gezeigt und beschrieben wurde, ist für Fachleute offensichtlich, dass verschiedene Modifikationen und Variationen der vorliegenden Erfindung vorgenommen werden können, ohne den Schutzbereich oder das Wesen der Erfindung zu verlassen, wie in den angefügten Ansprüchen definiert. Es ist klar, dass solche Ausführungen in den Schutzbereich der vorliegenden Erfindung fallen sollen.
  • Industrielle Anwendbarkeit
  • Die vorliegende Erfindung macht es möglich die untere Fläche des Brausekopfs davor zu schützen, kontaminiert zu werden, wenn Mehrkomponentenschichten bzw. -filme über chemische Dampfabscheidung abgeschieden werden, während eine einfache Einstellung der Zusammensetzungen erlaubt wird. Daher werden die Stabilität, Reproduzierbarkeit und Produktivität des Schichtabscheidungsprozesses signifikant verbessert. Daher kann die Technologie der vorliegenden Erfindung weitreichend verwendet werden, um energiebezogene Vorrichtungen, wie etwa LEDs oder Solarzellen herzustellen, die beide auf zusammengesetzten Mehrkomponentenhalbleitern basieren. Sie kann auch effektiv verwendet werden, um eine relativ dicke piezoelektrische Schicht aus einem PZT-Material für einen Tintenstrahldruckkopf herzustellen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • KR 0849929 [0003]
    • KR 10-2007-0112354 [0008]

Claims (7)

  1. Brausekopf für eine Vakuumschichtabscheidungsvorrichtung, umfassend: ein Brausekopfmodul für Reaktivgas mit einem Einlass, durch den ein Reaktivgas eingeführt wird, und mit mehreren Injektionsröhren, durch die das Reaktivgas eingeblasen wird; ein Brausekopfmodul für Spülgas mit einem Einlass, durch den ein Spülgas eingeführt wird, und mit mehreren Injektionsröhren, durch die das Spülgas eingeblasen wird; und einen Kühlmantel mit einem Einlass und einem Auslass, um ein Einströmen und ein Ausströmen eines Kühlmittels zu erlauben; und einen Spülgasumverteilungsraum zwischen dem Brausekopfmodul für Reaktivgas und dem Kühlmantel, wobei das Brausekopfmodul für Reaktivgas über dem Kühlmantel angeordnet ist, das Brausekopfmodul für Spülgas über dem Brausekopfmodul für Reaktivgas angeordnet ist, sich die Injektionsröhren des Brausekopfmoduls für Reaktivgas durch den darunterliegenden Kühlmantel erstrecken und sich die Injektionsröhren des Brausekopfmoduls für Spülgas durch das Brausekopfmodul für Reaktivgas, das sich in unterer Position befindet, erstrecken, wodurch das Spülgas in besagten Spülgasumverteilungsraum eingeführt wird.
  2. Brausekopf für eine Vakuumschichtabscheidungsvorrichtung, umfassend: ein Brausekopfmodul für Reaktivgas mit einem Einlass, durch den ein Reaktivgas eingeführt wird, und mit mehreren Injektionsröhren, durch die das Reaktivgas eingeblasen wird; ein Brausekopfmodul für Spülgas mit einem Einlass, durch den ein Spülgas eingeführt wird, und mit mehreren Injektionslöchern, durch die das Spülgas eingeblasen wird; einen Kühlmantel mit einem Einlass und einem Auslass, um ein Einströmen und ein Ausströmen eines Kühlmittels zu erlauben; und einen Spülgasumverteilungsraum zwischen dem Brausekopfmodul für Reaktivgas und dem Kühlmantel, wobei das Brausekopfmodul für Reaktivgas über dem Kühlmantel angeordnet ist, das Brausekopfmodul für Spülgas über dem Brausekopfmodul für Reaktivgas angeordnet ist, sich die Injektionsröhren des Brausekopfmoduls für Reaktivgas durch den Kühlmantel, der sich in unterer Position befindet, erstrecken, wobei das Spülgas, das von den Spülgasinjektionslöchern eingeblasen wurde, in besagten Spülgasumverteilungsraum eingeführt wird, entlang eines Inneren von Führungsröhren, die durch hermetisches Durchdringen eines Inneren des Brausekopfmoduls für Reaktivgas, das sich an unterer Position befindet, montiert sind.
  3. Brausekopf gemäß Anspruch 1 oder 2, wobei ein anderes Brausekopfmodul für Reaktivgas weiter zwischen dem Brausekopfmodul für Reaktivgas und dem Brausekopfmodul für Spülgas angeordnet ist, wobei sich Injektionsröhren des oberen Brausekopfmoduls für Reaktivgas nacheinander durch das untere Brausekopfmodul für Reaktivgas und den Kühlmantel erstrecken.
  4. Brausekopf gemäß Anspruch 1 oder 2, wobei ein anderes Brausekopfmodul für Reaktivgas weiter über dem Brausekopfmodul für Spülgas angeordnet ist, wobei sich Injektionsröhren eines anderen Brausekopfmoduls für Reaktivgas nacheinander durch das Brausekopfmodul für Spülgas, das Brausekopfmodul für Reaktivgas und den Kühlmantel erstrecken.
  5. Brausekopf gemäß Anspruch 1 oder 2, wobei das Brausekopfmodul für Reaktivgas erste und zweite Zwischenplatten zwischen oberen und unteren Platten aufweist, wobei mehrere Reaktivgase durch mehrere Einlässe in einen Raum zwischen der oberen Platte und der ersten Zwischenplatte eingeführt werden, ein Injektionsunterstützungsgas durch einen Einlass in einen Raum zwischen der ersten und der zweiten Zwischenplatte eingeführt wird, und die mehreren Reaktivgase und das Injektionsunterstützungsgas in einer Mischkammer zwischen der zweiten Zwischenplatte und der unteren Platte zusammengemischt werden, wodurch ein Mischgas gebildet wird.
  6. Brausekopf gemäß Anspruch 1 oder 2, wobei das Brausekopfmodul für Reaktivgas erste und zweite Zwischenplatten zwischen oberen und unteren Platten aufweist, wobei ein Injektionsunterstützungsgas durch einen Einlass in einen Raum zwischen der oberen Platte und der ersten Zwischenplatte eingeführt wird, mehrere Reaktivgase durch mehrere Einlässe in einen Raum zwischen der ersten und der zweiten Zwischenplatte eingeführt werden, und die mehreren Reaktivgase und das Injektionsunterstützungsgas in einer Mischkammer zwischen der zweiten Zwischenplatte und der unteren Platte zusammengemischt werden, wodurch ein Mischgas gebildet wird.
  7. Brausekopf gemäß Anspruch 1 oder 2, wobei das Brausekopfmodul für Reaktivgas erste bis dritte Zwischenplatten zwischen der oberen und unteren Platte aufweist, wobei eine Art von Reaktivgas durch einen Einlass in einen Raum zwischen der oberen Platte und der ersten Zwischenplatte eingeführt wird, ein anderes Reaktivgas einer anderen Art durch einen anderen Einlass in einen Raum zwischen der ersten und der zweiten Zwischenplatte eingeführt wird, ein Injektionsunterstützungsgas durch einen Einlass in einen Raum zwischen der zweiten und der dritten Zwischenplatte eingeführt wird, und die mehreren Reaktivgase und das Injektionsunterstützungsgas in der Mischkammer zwischen der dritten Zwischenplatte und der unteren Platte zusammengemischt werden, wodurch ein Mischgas gebildet wird.
DE112010002199.6T 2009-06-01 2010-05-13 Brausekopf für eine Vakuumschichtabscheidungsvorrichtung Active DE112010002199B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020090048290A KR101064210B1 (ko) 2009-06-01 2009-06-01 막증착 진공장비용 샤워헤드
KR10-2009-0048290 2009-06-01
PCT/KR2010/003024 WO2010140778A2 (ko) 2009-06-01 2010-05-13 막증착 진공장비용 샤워헤드

Publications (2)

Publication Number Publication Date
DE112010002199T5 true DE112010002199T5 (de) 2012-07-05
DE112010002199B4 DE112010002199B4 (de) 2015-02-26

Family

ID=41332832

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112010002199.6T Active DE112010002199B4 (de) 2009-06-01 2010-05-13 Brausekopf für eine Vakuumschichtabscheidungsvorrichtung

Country Status (4)

Country Link
US (1) US9315897B2 (de)
KR (1) KR101064210B1 (de)
DE (1) DE112010002199B4 (de)
WO (1) WO2010140778A2 (de)

Families Citing this family (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP4840832B2 (ja) * 2010-04-28 2011-12-21 シャープ株式会社 気相成長装置、気相成長方法、および半導体素子の製造方法
KR20130115330A (ko) * 2011-05-24 2013-10-21 한국생산기술연구원 다층 샤워헤드 및 그 밀봉방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
WO2013163192A1 (en) * 2012-04-24 2013-10-31 Applied Materials, Inc. Gas reclamation and abatement system for high volume epitaxial silicon deposition system
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9244368B2 (en) 2012-09-26 2016-01-26 Kla-Tencor Corporation Particle control near reticle and optics using showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9982343B2 (en) * 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
WO2014209017A1 (ko) * 2013-06-26 2014-12-31 한국생산기술연구원 확산핀을 가지는 전자소자 제조용 샤워 헤드 및 샤워 헤드 조립체
KR101542599B1 (ko) 2013-06-26 2015-08-06 한국생산기술연구원 확산핀을 가지는 전자소자 제조용 샤워 헤드 및 샤워 헤드 조립체
JP6153401B2 (ja) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN110724938B (zh) * 2014-05-16 2022-02-22 应用材料公司 喷头设计
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6193284B2 (ja) * 2015-03-18 2017-09-06 株式会社東芝 流路構造、吸排気部材、及び処理装置
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
CN107326341B (zh) * 2017-07-14 2019-10-25 君泰创新(北京)科技有限公司 Lpcvd工艺腔匀气装置
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11015247B2 (en) * 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
FI128427B (en) * 2018-04-12 2020-05-15 Beneq Oy Nozzle head and device
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
SG11202107817XA (en) * 2019-03-11 2021-09-29 Applied Materials Inc Lid assembly apparatus and methods for substrate processing chambers
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20210150978A (ko) * 2020-06-03 2021-12-13 에이에스엠 아이피 홀딩 비.브이. 샤워 플레이트, 기판 처리 장치 및 기판 처리 방법
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20230235458A1 (en) * 2020-07-24 2023-07-27 Lam Research Corporation Showerhead with reduced interior volumes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
DE102021114868A1 (de) * 2021-06-09 2022-12-15 Aixtron Se Gaseinlassorgan für einen CVD-Reaktor
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070112354A (ko) 2007-09-21 2007-11-23 주식회사 피에조닉스 샤워헤드를 구비한 반도체 소자 제조 장비
KR100849929B1 (ko) 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
JP3649267B2 (ja) * 1996-10-11 2005-05-18 株式会社荏原製作所 反応ガス噴射ヘッド
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
JP2003124125A (ja) * 2001-10-12 2003-04-25 Applied Materials Inc 半導体製造装置
US7199061B2 (en) * 2003-04-21 2007-04-03 Applied Materials, Inc. Pecvd silicon oxide thin film deposition
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
DE102005055468A1 (de) * 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
JP4344949B2 (ja) * 2005-12-27 2009-10-14 セイコーエプソン株式会社 シャワーヘッド、シャワーヘッドを含む成膜装置、ならびに強誘電体膜の製造方法
KR100682077B1 (ko) * 2006-06-05 2007-02-16 주식회사 케이씨텍 중성화빔을 이용한 표면처리장치 및 방법
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
EP3471130A1 (de) * 2008-12-04 2019-04-17 Veeco Instruments Inc. Stromeinlasselemente zur chemischen gasphasenabscheidung und verfahren
WO2010101369A2 (ko) * 2009-03-03 2010-09-10 주성엔지니어링㈜ 가스 분배 장치 및 이를 구비하는 기판 처리 장치
KR101062462B1 (ko) * 2009-07-28 2011-09-05 엘아이지에이디피 주식회사 샤워헤드 및 이를 포함하는 화학기상증착장치
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US20120000490A1 (en) * 2010-07-01 2012-01-05 Applied Materials, Inc. Methods for enhanced processing chamber cleaning

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100849929B1 (ko) 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
KR20070112354A (ko) 2007-09-21 2007-11-23 주식회사 피에조닉스 샤워헤드를 구비한 반도체 소자 제조 장비

Also Published As

Publication number Publication date
US9315897B2 (en) 2016-04-19
US20120067971A1 (en) 2012-03-22
KR20090075649A (ko) 2009-07-08
WO2010140778A2 (ko) 2010-12-09
KR101064210B1 (ko) 2011-09-14
DE112010002199B4 (de) 2015-02-26
WO2010140778A3 (ko) 2011-03-10

Similar Documents

Publication Publication Date Title
DE112010002199B4 (de) Brausekopf für eine Vakuumschichtabscheidungsvorrichtung
DE112007000933B4 (de) Katalytische, chemische Gasphasenabscheidungsvorrichtung
DE112007002179B4 (de) Vorrichtung zur chemischen Dampfabscheidung mit einem Brausekopf, zum positiven Regulieren der Injektionsgeschwindigkeit von reaktiven Gasen und Verfahren dafür
DE69630484T2 (de) Reaktivgasinjektor für Vorrichtung zur chemischen Gasphasenabscheidung
DE60317147T2 (de) Plasmabearbeitungsvorrichtung
DE102014201554A1 (de) Dampfphasenepitaxievorrichtung und Dampfphasenepitaxieverfahren
DE112010004736B4 (de) Aufnahmefür cvd und verfahren zur herstellung eines films unterverwendung derselben
DE69533268T2 (de) Vorrichtung zur Züchtung einer Verbindungshalbleiterschicht
EP1618227B1 (de) Verfahren und vorrichtung zum abscheiden von halbleiterschichten mit zwei prozessgasen, von denen das eine vorkonditioniert ist
DE112006000464T5 (de) Chemischer Bedampfungs-Reaktor mit einer Vielzahl von Einlässen
DE112007000898T5 (de) Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung
DE112014001586B4 (de) Vorrichtung zur Bearbeitung von zwei oder mehreren Substraten in einem Batch-Prozess
DE112013002823T5 (de) Gaseinspritzkomponenten für Abscheidungssysteme, Abscheidungssysteme mit derartigen Komponenten und dazugehörige Verfahren
DE112004001308T5 (de) Chemischer Bedampfungs-Reaktor
DE102008055582A1 (de) MOCVD-Reaktor mit zylindrischem Gaseinlassorgan
DE112012001864T5 (de) Halbleitersubstratbearbeitungssystem
DE102008036642A1 (de) Sprühkopf und CVD-Vorrichtung, welche diesen aufweist
DE10222114A1 (de) Verfahren und System zur Herstellung eines III-V-Verbindungshalbleiters sowie III-V-Verbindungshalbleiter
WO2011023512A1 (de) Cvd-verfahren und cvd-reaktor
EP2470685A1 (de) Cvd-reaktor und verfahren zum abscheiden einer schicht
DE112011102504T5 (de) Verbesserter Reaktor zur chemischen Gasphasenabscheidung
DE102011002145B4 (de) Vorrichtung und Verfahren zum großflächigen Abscheiden von Halbleiterschichten mit gasgetrennter HCI-Einspeisung
DE112010000724T5 (de) Plasmaverarbeitungsvorrichtung und Plasma-CVD-Filmbildungsverfahren
DE112015003176T5 (de) Düsenkopf, Vorrichtung und Verfahren, die dazu geeignet sind, eine Oberfläche eines Substrats aufeinanderfolgenden Oberflächenreaktionen zu unterziehen
DE102012221945A1 (de) Abscheidungsmaske und Abscheidungsvorrichtung, die diese aufweist

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R012 Request for examination validly filed

Effective date: 20111130

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final