DE60317147T2 - Plasmabearbeitungsvorrichtung - Google Patents

Plasmabearbeitungsvorrichtung Download PDF

Info

Publication number
DE60317147T2
DE60317147T2 DE60317147T DE60317147T DE60317147T2 DE 60317147 T2 DE60317147 T2 DE 60317147T2 DE 60317147 T DE60317147 T DE 60317147T DE 60317147 T DE60317147 T DE 60317147T DE 60317147 T2 DE60317147 T2 DE 60317147T2
Authority
DE
Germany
Prior art keywords
container
treatment
gas
groove
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60317147T
Other languages
English (en)
Other versions
DE60317147D1 (de
Inventor
Kazuto Yoshida
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Heavy Industries Ltd
Original Assignee
Mitsubishi Heavy Industries Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Heavy Industries Ltd filed Critical Mitsubishi Heavy Industries Ltd
Publication of DE60317147D1 publication Critical patent/DE60317147D1/de
Application granted granted Critical
Publication of DE60317147T2 publication Critical patent/DE60317147T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Description

  • TECHNISCHES GEBIET
  • Die Erfindung betrifft eine Plasmabehandlungsvorrichtung zum Bilden eines Films auf der Oberfläche eines Substrats durch Dampfablagerung.
  • STAND DER TECHNIK
  • Derzeit ist zur Herstellung eines Halbleiters die Bildung eines Films unter Verwendung eines Plasma-CVD-(Chemical-Vapor-Deposition)-Systems bekannt. Das Plasma-CVD-System ist ein System, bei welchem ein Quellengas zum Bilden eines Films in eine Filmbildungskammer innerhalb eines Behälters eingeführt wird, Hochfrequenzwellen mittels einer Hochfrequenzantenne gesendet werden, um das Quellengas in den Plasmazustand zu überführen und angeregte aktive Atome in dem Plasma chemische Reaktionen auf der Oberfläche des Substrates begünstigen, um die Filmbildung durchzuführen.
  • Bei dem Plasma-CVD-System werden ein Hilfsgas und ein Reinigungsgas zum Ausführen einer Reinigung zusammen mit dem Quellengas, das das Material des Filmes werden wird, eingeführt. Deshalb sind Rohrleitungen zum Einführen einer Vielzahl von Gasen mit dem Behälter verbunden. Eine Vielzahl von Gasdüsen ist in der Umfangsrichtung des Behälters, der eine zylindrische Form besitzt, vorgesehen.
  • Bei dem konventionellen Plasma-CVD-System ist die Vielzahl der Gasdüsen in dem Behälter derart zur Verfügung gestellt, daß mehrere Arten von Gasdüsen für die verschiedenen Arten von Gasen vorhanden sind und mehrere Gasdüsen jedes Typs vorhanden sind. Um ein Vertauschen der verschiedenen Gase zu vermeiden, werden deshalb die gleiche Anzahl von Rohrleitungen wie die Anzahl der Gasdüsen für jede der Arten von Gasen verbunden. Als Resultat davon ist das Rohrleitungssystem kompliziert, was die Konfiguration des Behälters kompliziert macht und ggf. das Herstellen und das Auseinandernehmen des Behälters schwierig macht.
  • Um unter diesen Umständen eine Gasleckage zu verhindern, ist viel Arbeit und viel Zeit für die Inspektion der Schweißzonen der Rohrleitungen oder für das Testen der Verbindung des Rohrleitungssystems notwendig. Abhängig von individuellen Unterschieden in den Rohrleitungen unterscheiden sich die Gasflußrate oder der Druckverlust, was Ungleichgewichte in den Beträgen des zugeführten Gases hervorrufen kann.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Die vorliegende Erfindung betrifft eine Plasmabehandlungsvorrichtung gemäß dem Oberbegriff des Anspruchs 1. Eine solche Vorrichtung ist in den Dokumenten WO 97/032223 und US 5,792,272 beschrieben.
  • Die Dokumente WO 97/032223 und US 5,792,272 beschreiben einen Plasmabehandlungsreaktor mit einer Behandlungskammer, umfassend eine Plasmakammer, eine Verarbeitungskammer, Gaseinspritzdüsen und Nuten, die in die Wände der Plasma- und Bearbeitungskammern eingelassen sind, was das Hindurchtreten von Gas in die Behandlungskammer durch die Umfangsnut und durch die Düsen erlaubt. Genauer gesagt sind die Nuten in einem Verteilerelement mit einer solchen Form ausgebildet, daß verschiedene Dichtungen durch die Wand der Kammer notwendig sind.
  • Das Dokument EP 1 103 632 beschreibt ebenfalls eine Plasmabehandlungsvorrichtung mit einer Behandlungskammer mit in die Wände der Behandlungskammer eingelassenen Nuten, welche das Hindurchtreten des Behandlungsgases in die Behandlungskammer über Düsen erlauben.
  • Die vorliegende Erfindung wurde in Anbetracht der oben erwähnten Probleme bei den älteren Verfahren gemacht. Es ist das Ziel der Erfindung, eine Plasmabehandlungsvorrichtung anzugeben, die die Konfiguration des Behälters vereinfachen kann, ohne die Rohrleitungen für Gase zu komplizieren.
  • Die Erfindung ist weiter definiert durch den kennzeichnenden Teil von Anspruch 1.
  • Die Plasma-CVD-Vorrichtung der vorliegenden Erfindung kann die Konfiguration des Behälters vereinfachen, ohne die Gasrohrleitungen zu komplizieren.
  • Aufgrund dieser Eigenschaften, kann der Behälter vereinfacht und teilbar gemacht werden, ohne eine Gasleitung für jede der Behandlungsgasdüsen zur Verfügung stellen zu müssen.
  • Folglich kann die Plasma-CVD-Vorrichtung die Konfiguration des Behälters vereinfachen, ohne die Gasleitungen zu komplizieren. Ferner ist der Behälter leicht zusammen- und auseinanderzubauen, und seine Wartungsfreundlichkeit und Inspektionsfreundlichkeit kann verbessert werden. Ferner ist eine Gasleckage unwahrscheinlich, selbst wenn ein einfaches Dichtungsringelement verwendet wird.
  • Bei der Plasmabehandlungsvorrichtung gemäß dem zweiten Aspekt der Erfindung kann die Einführungsnut über eine Länge von ungefähr einer Hälfte eines Umfangs in einer Umfangsrichtung des Behälters ausgebildet sein, die Behandlungsgaseinführmittel können in Verbindung mit einem Abschnitt auf halbem Wege der Einführungsnut verbunden sein und die Verbindungsmittel können mit gegenüberliegenden Enden der Einführungsnut in Verbindung sein. Aufgrund dieser Eigenschaft können die Behandlungsgase gleichförmig zugeführt werden.
  • Bei der Plasmabehandlungsvorrichtung gemäß dem zweiten oder dritten Aspekt der Erfindung können die umlaufende Nut, die Einführungsnut, ein Abschnitt der Verbindung der Behandlungsgasdüse und der umlaufenden Nut, ein Abschnitt der Verbindung zwischen den Behandlungsgaseinführmitteln und der Einführungsnut sowie die Verbindungsmittel zum Herstellen einer Verbindung zwischen der umlaufenden Nut und der Einführungsnut jeweils durch spanabhebende Bearbeitung gebildet sein. Gemäß dieser Eigenschaft kann die maschinelle Herstellung leicht ausgeführt werden.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Die vorliegende Erfindung wird mittels der nachfolgend gegebenen detaillierten Beschreibung und den beigefügten Zeichnungen, die nur als Beispiel gegeben und daher für die vorliegende Erfindung nicht limitierend sind, vollständiger verstanden werden, wobei:
  • 1 eine schematische Seitenansicht einer Plasma-CVD-Vorrichtung gemäß einer Ausführungsform der vorliegenden Erfindung ist,
  • 2 eine Schnittansicht wesentlicher Teile eines Behälters ist, die die tatsächliche Lage der Gasleitungen zeigt,
  • 3 eine Ansicht entlang der Linie III-III der 2 ist,
  • 4 eine Ansicht entlang der Linie IV-IV von 2 ist,
  • 5 eine Ansicht entlang der Linie V-V von 2 ist und
  • 6 eine Konzeptionszeichnung ist, die die Situation des Verbindens der Gasleitungen zeigt.
  • DETAILLIERTE BESCHREIBUNG
  • Bevorzugte Ausführungsformen der vorliegenden Erfindung werden nun mit Bezug auf die beigefügten Zeichnungen, die in keiner Weise die Erfindung beschränken, beschrieben.
  • Wie in 1 gezeigt, ist ein zylindrischer Aluminiumbehälter 2 auf einer Basis 1 vorgesehen, und eine Filmbildungskammer 3 ist als Behandlungskammer innerhalb des Behälters 2 definiert. Ein ringförmiges RF-Eintrittsfenster 4 ist an der Oberseite des Behälters 2 vorgesehen. Die Filmbildungskammer 3 im Zentrum des Behälters 2 ist mit einer Trägerständervorrichtung 5 ausgestattet. Die Trägerständervorrichtung 5 besitzt einen Lagerabschnitt 9, der ein Substrat 6 eines Halbleiters elektrostatisch anzieht und festhält. Ein mit einem elektrostatischen Spannträgermechanismus ausgestatteter Haltemechanismus 7 ist unterhalb des Lagerabschnittes 9 vorgesehen.
  • Eine Gleichstromenergiequelle 21 und eine vorspannungsanwendende Hochfrequenzenergiequelle 22 sind mit dem Haltemechanismus 7 der Trägerständervorrichtung 5 verbunden, um Niedrigfrequenzwellen in dem Lagerabschnitt 9 zu erzeugen und auch eine elektrostatische Kraft darin herzustellen. Die Trägerständervorrichtung 5 kann sich als Ganzes nach oben und nach unten bewegen, so daß ihre Höhe in der vertikalen Richtung auf eine optimale Höhe eingestellt werden kann.
  • An dem RF-Eingangsfenster 4 ist eine Hochfrequenzantenne 13 von zum Beispiel kreisringförmiger Form angeordnet. Eine plasmaerzeugende Hochfrequenzenergiequelle 15 ist mit der Hochfrequenzantenne 13 über ein Anpaßinstrument 14 verbunden. Durch Zuführen elektrischer Energie zu der Hochfrequenzantenne 13 werden elektromagnetische Wellen in die Filmbildungskammer 3 des Behälters 2 gesendet. Die in den Behälter 2 gesendeten elektromagnetischen Wellen ionisieren Gase in der Filmbildungskammer 3, um ein Plasma zu erzeugen.
  • Der Behälter 2 ist mit Gaszuführdüsen 16 als Behandlungsgasdüsen zum Zuführen eines Quellengases wie zum Beispiel einem Silan (zum Beispiel SiH4) versehen. Das Quellengas, das zu einem filmbildenden Material werden wird (zum Beispiel Si), wird über die Gaszuführdüsen 16 in die Filmbildungskammer 3 eingeleitet.
  • Der Behälter 2 ist ferner mit Hilfsgaszuführdüsen 17 als Behandlungsgasdüsen zum Zuführen eines Inertgases (Edelgases) wie zum Beispiel Argon oder Helium oder eines Hilfsgases wie zum Beispiel Sauerstoff oder Wasserstoff versehen. Ferner ist der Behälter 2 mit Reinigungsgasdüsen 19 als Behandlungsgasdüsen zum Zuführen eines fluorbasierten Gases oder eines chlorbasierten Gases (Reinigungsgas), das eine Reinigung ausführt, versehen.
  • Ein Ausstoßanschluß 18, der mit einem (nicht gezeigten) Unterdruckpumpsystem zum Auspumpen der Innenseite des Behälters 2 verbunden ist, ist in der Basis 1 vorgesehen. Ferner ist ein Eintragungs-/Austragungsanschluß für das Substrat 6 in dem Behälter vorgesehen, obwohl er nicht gezeigt ist, zum Einbringen des Substrates 6 aus einer (nicht gezeigten) Transportkammer in den Behälter 2 und Austragen des Substrates 6 aus dem Behälter 2 in die Transportkammer.
  • Bei der oben beschriebenen Plasma-CVD-Vorrichtung wird das Substrat 6 auf dem Lagerabschnitt 9 der Trägerständervorrichtung 5 plaziert und elektrostatisch davon angezogen. Das Quellengas wird mit einer vorbestimmten Flußrate durch die Gaszuführdüsen 16 in die Filmbildungskammer 3 eingeleitet, während das Hilfsgas mit einer vorbestimmten Flußrate durch die Hilfsgaszuführdüsen 17 in die Filmbildungskammer 3 eingeleitet wird. Das Innere der Filmbildungskammer 3 wird auf einen vorbestimmten Druck eingestellt, der an die Filmbildungsbedingungen angepaßt ist.
  • Dann wird elektrische Energie aus der Hochfrequenzenergiequelle 15 zu der Hochfrequenzantenne 13 geleitet, um Hochfrequenzwellen zu erzeugen. Auf der anderen Seite wird elektrische Energie von der Gleichspannungsenergiequelle 21 zu dem Lagerabschnitt 9 geleitet, um Niedrigfrequenzwellen zu erzeugen.
  • Durch diese Mittel entlädt das Quellengas innerhalb der Filmbildungskammer 3 und kommt teilweise in einen Plasmazustand. Das Plasma kollidiert mit anderen neutralen Molekülen in dem Quellengas, was die neutralen Moleküle weiter ionisiert oder anregt. Die so gebildeten aktiven Partikel werden von der Oberfläche des Substrates 6 angezogen, um eine chemische Reaktion mit hoher Effizienz hervorzurufen, wodurch darauf ein CVD-Film abgelagert wird.
  • Wenn die Filmbildung auf dem Substrat 6 wiederholt durchgeführt wird, haftet das filmbildende Material an der inneren Wand der Filmbildungskammer 3, nämlich der Wandoberfläche des Behälters 2 oder der Oberfläche des RF-Eintrittsfensters 4, an und lagert sich dort ab. In diesem Fall wird das Plasma erzeugt, wobei das Reinigungsgas durch die Reinigungsgasdüsen 19 zugeführt wird, um das filmbildende Material wegzuätzen.
  • Die Arten von Gasdüsen der oben beschriebenen Ausführungsform dienen nur der Illustration und alle Arten von Gasdüsen, einschließlich Einzeltypen oder einer Vielzahl von Typen können vorgesehen werden.
  • Die Konfiguration der Gasleitungen wird konkret mit Bezug auf die 2 bis 6 beschrieben. Wie in 2 gezeigt, ist der Behälter 2, der die filmbildende Kammer 3 definiert, in einen oberen Behälter 31 und einen unteren Behälter 32 geteilt, die mechanisch über Bolzen 33 verbunden sind. Wie in den 2 und 3 gezeigt, sind in dem unteren Behälter 32 Einführungsnuten 34, 35 und 36 gebildet, die jeweils über die Länge von ungefähr der Hälfte eines Umfangs in der Umfangsrichtung des Behälters 2 ausgebildet sind. Die Einführungsnuten 34, 35 und 36 dienen als Kanäle für die Gase.
  • Eine Quellengasleitung 37 ist als Behandlungsgaseinführungsmittel mit einem Abschnitt auf halbem Wege der Einführungsnut 34 verbunden. Eine Hilfsgasleitung 38 ist als Behandlungsgaseinführungsmittel mit einem Abschnitt auf halbem Wege der Einführungsnut 35 verbunden. Eine Reinigungsgasleitung 39 ist als Behandlungsgaseinführungsmittel mit einem Abschnitt auf halbem Wege der Einführungsnut 36 verbunden.
  • Wie in 2 gezeigt, sind die Einführungsnuten 34, 35 und 36 und die Quellengasleitung 37, die Hilfsgasleitung 38 und die Reinigungsgasleitung 39 in Verbindung miteinander über verschiedene vertikale Kanäle 41 und horizontale Kanäle 42 verbunden. Die Einführungsnuten 34, 35 und 36, die vertikalen Kanäle 41 und die horizontalen Kanäle 42 sind durch spanabhebende Bearbeitung ausgeformt. Die horizontalen Kanäle 42 sind jeweils durch spanabhebende Bearbeitung beginnend an der Umfangsoberfläche des unteren Behälters 32 ausgearbeitet, und ein Oberflächenabschnitt entlang des Umfangs des horizontalen Kanals 42 ist mit einem Blindstopfen verschlossen. Die vertikalen Kanäle 41 sind durch spanabhebende Bearbeitung, beginnend an der unteren Oberfläche des unteren Behälters 32, ausgearbeitet und in Verbindung mit den horizontalen Kanälen 42 gebracht. Die Quellengasleitung 37, die Hilfsgasleitung 38 und die Reinigungsgasleitung 39 sind mit unteren Oberflächenabschnitten der vertikalen Kanäle 41 verbunden.
  • Die Ausbildung der Kanäle durch spanabhebende Bearbeitung ermöglicht eine einfache Bearbeitung, um den Behälter 2 auszubilden.
  • Die unteren Enden der unteren Verbindungspfade 43, 44 und 45 stehen als Verbindungsmittel mit beiden Enden der Einführungsnuten 34, 35 bzw. 36 in Verbindung.
  • Die Einführungsnuten 34, 35 und 36 können in einer umlaufenden Form in der gesamten Umfangsrichtung des Behälters 2 vorgesehen sein, und die unteren Verbindungspfade 43, 44 und 45 können als Verbindungsmittel an drei oder mehr Stellen mit gleichem Abstand vorgesehen sein.
  • Wie in den 2 und 4 gezeigt, sind andererseits die umlaufenden Nuten 46, 47 und 48, die in der Umfangsrichtung des Behälters 2 ausgebildet sind, in dem oberen Behälter 31 ausgebildet. Die umlaufenden Nuten 46, 47 und 48 dienen als Kanäle für Gase. Die oberen Enden der oberen Kommunikationspfade 51, 52 und 53 stehen als Verbindungsmittel mit den umlaufenden Nuten 46, 47 bzw. 48 in Verbindung.
  • Die oberen Kommunikationspfade 51, 52 und 53 sind in Übereinstimmung mit den unteren Kommunikationspfaden 43, 44 und 45 vorgesehen. Die oberen Kommunikationspfade 51, 52 und 53 sind durch spanabhebende Bearbeitung, beginnend an der unteren Oberfläche des oberen Behälters 31 in der vertikalen Richtung ausgebildet und ihre oberen Enden stehen mit den umlaufenden Nuten 46, 47 und 48 in Verbindung. Die unteren Enden der Kommunikationspfade 51, 52 und 53 sind mit den oberen Enden der unteren Kommunikationspfade 43, 44 und 45 verbunden.
  • Die umlaufende Nut 46 ist angebohrt, so daß eine Vielzahl der Gaszuführdüsen 16 mit gleichem Abstand in der Umfangsrichtung damit verbunden ist. Die umlaufende Nut 47 ist angebohrt, so daß eine Vielzahl der Hilfsgaszuführdüsen 17 mit gleichem Abstand in der Umfangsrichtung damit verbunden ist. Die umlaufende Nut 48 ist angebohrt, so daß eine Vielzahl der Reinigungsgasdüsen 19 damit mit gleichem Abstand in der Umfangsrichtung verbunden ist.
  • Wie in 6 gezeigt, wird das Quellengas über die Quellengasleitung 37 der Einführungsnut 34 von gegenüberliegenden Enden der Einführungsnut 34 zu der umlaufenden Nut 46 durch die unteren Kommunikationspfade 43 und die oberen Kommunikationspfade 41 zugeführt, worauf das Quellengas den Gaszuführdüsen 16 durch die umlaufende Nut 46 zugeführt wird.
  • Das von der Hilfsgasleitung 48 der Einführungsnut 45 zugeführte Hilfsgas wird von gegenüberliegenden Enden der Einführungsnut 35 der umlaufenden Nut 47 über die unteren Kommunikationspfade 44 und die oberen Kommunikationspfade 52 zugeführt, worauf das Hilfsgas den Hilfsgaszuführdüsen 17 über die umlaufende Nut 47 zugeführt wird.
  • Ferner wird das Reinigungsgas, das der Einführungsnut 46 von der Reinigungsgasleitung 49 zugeführt wird, von gegenüberliegenden Enden der Einführungsnut 36 der umlaufenden Nut 48 durch die unteren Kommunikationspfade 45 und die oberen Kommunikationspfade 53 zugeführt, worauf das Reinigungsgas den Reinigungsgasdüsen 19 durch die umlaufende Nut 48 zugeführt wird.
  • Wie in den 2 und 5 gezeigt, ist ein Dichtungsring 55 an der Verbindung zwischen dem oberen Behälter 31 und dem unteren Behälter 32 vorgesehen. Der Dichtungsring 55 ist an der Seite gegenüber der Filmbildungskammer 3 (das heißt auswärts der Filmbildungskammer 3) angeordnet, wobei die Verbindungsmittel, die aus den unteren Verbindungspfaden 43, 44 und 45 und den oberen Verbindungspfaden 51, 52 und 53 bestehen, zwischen dem Dichtungsring 55 und der Filmbildungskammer 43 angeordnet sind. Behandlungen, wie eine Filmbildung, werden durchgeführt, während das Innere der Filmbildungskammer 3 in einem Unterdruckzustand gehalten wird. Aufgrund einer Druckdifferenz können deshalb Gase selbst dann nicht zur Außenseite des Behälters 2 gelangen, wenn die Gase austreten und der Dichtungsring 55 nicht funktionieren sollte.
  • Bei der oben beschriebenen Plasma-CVD-Vorrichtung sind deshalb die Kanäle für verschiedene Arten von Gasen innerhalb des Behälters 2 durch die Nuten gebildet, und die durch die Quellengasleitung 37, die Hilfsgasleitung 38 und die Reinigungsgasleitung 39 eingeführten Gase werden gleichmäßig an die verschiedenen Gaszuführdüsen 16, die verschiedenen Hilfsgasdüsen 17 und die verschiedenen Reinigungsgasdüsen 19 verteilt.
  • Diese Konfiguration macht die Notwendigkeit des Vorsehens von Rohrleitungen für jede der Vielzahl von Gaszuführdüsen 16, Hilfsgaszuführdüsen 17 und Reinigungsgasdüsen 19 hinfällig. Demzufolge besitzt der Behälter 2 eine einfache Struktur, so daß seine Wartungsfreundlichkeit steigt, sein Zusammen- und Auseinanderbau vereinfacht werden und sich seine Inspektionsfreundlichkeit verbessert.
  • Daneben werden die Gase von gegenüberliegenden Enden der Einführungsnuten 34, 35 und 36 den umlaufenden Nuten 46, 47 und 48 zugeführt. Deshalb werden die Gase gleichmäßig auf die verschiedenen Gaszuführdüsen 16, die verschiedenen Hilfsgasdüsen 17 und die verschiedenen Reinigungsgasdüsen 19 verteilt. Als ein Resultat sind die Flüsse der Gase und die Druckverluste gleichmäßig und ideal verteilt, so daß das Auftreten von Unterschieden zwischen den verschiedenen Leitungen etc. unterdrückt werden kann.
  • Zusätzlich sind die Kanäle für die Gase nicht der Atmosphäre ausgesetzt. Deshalb gibt es kein Risiko von Leckagen, keine Mischung der verschiedenen Arten von Gasen und die Sicherheit ist erhöht.
  • Daneben reicht eine einfache Dichtung für Dichtungszwecke aus. Selbst wenn die Dichtung versagen sollte, ist das Austreten von Gasen zur Außenseite des Behälters 2 unwahrscheinlich.
  • Zusätzlich ist der Behälter 2 in den oberen Behälter 31 und den unteren Behälter 32 geteilt. Die umlaufenden Nuten 46, 47 und 48 und die Gaszuführdüsen 16, Hilfsgaszuführdüsen 17 und Reinigungsgasdüsen 19 sind in dem oberen Behälter 31 vorgesehen. Deshalb reicht es, wenn zum Beispiel die Anordnung der Düsen geändert werden soll, aus, den oberen Behälter 31 zu ersetzen, so daß die Eigenschaften der allgemeinen Anwendbarkeit des Behälters 2 verbessert werden.
  • Diese Eigenschaften resultieren in der Zur-Verfügung-Stellung einer Plasma-CVD-Vorrichtung, bei welcher die Konfiguration des Behälters 2 vereinfacht ist, ohne die Leitungen für die Gase zu komplizieren.
  • Bei einem Plasma-CVD-System sind Temperatursteuermittel außerhalb eines Behälters 2 vorgesehen, um eine Temperatursteuerung der Filmbildung auszuführen, während Temperaturänderungen unterdrückt werden. Für diesen Zweck ist es allgemeine Praxis, elektrische Heiz-/Kühlmittel, Kühlmittelleitungen und, falls gewünscht, ein wärmeisolierendes Material zur Verfügung zu stellen, um so einen Behälter 2 zu konstruieren, der minimal hinsichtlich der Temperatur variiert.
  • Bei der oberen Plasma-CVD-Vorrichtung sind die Kanäle für die verschiedenen Arten von Gasen innerhalb des Behälters 2 durch die Nuten gebildet, und die Wanddicke des Behälters 2 (oberer Behälter 31, unterer Behälter 32) ist erhöht. Deshalb kann die Zeitkonstante der Temperatur vermindert werden, um die Wärmekapazität zu erhöhen.
  • Demzufolge ist es nicht notwendig, einen Heizer mit großer Kapazität oder eine kühlende Struktur zur Verfügung zu stellen, um Temperaturänderung zu unterdrücken. Auch ist kein Temperatursteuerelement von Nöten. Gleichwohl kann eine Steuerung der Idealtemperatur ausgeübt werden.
  • Die vorliegende Erfindung kann so verwirklicht werden, daß die Nuten zumindest in dem Behälter 2 ausgebildet sind, wodurch die Kanäle für die Gase zur Verfügung gestellt werden, um Rohrleitungen überflüssig zu machen. Solange die Kanäle für die Gase von den Nuten gebildet werden, muß der Behälter 2 nicht geteilt werden. Alternativ können die Nuten durch Verwendung von Formen während des Gießens ausgebildet werden, ohne daß es spanabhebender Bearbeitung bedürfte.
  • Während die vorliegende Erfindung in der vorstehenden Weise beschrieben wurde, sollte verstanden werden, daß die Erfindung dadurch nicht beschränkt ist, sondern in verschiedenen anderen Weisen gewandelt werden kann. Solche Abwandlungen sind nicht als Verlassen der Reichweite der Erfindung zu verstehen, und all diese Modifikationen, die für den Fachmann offensichtlich sind, sollen durch die Reichweite der nachfolgenden Ansprüche erfaßt werden.

Claims (6)

  1. Plasmabehandlungsvorrichtung, welche ein Behandlungsgas in eine Behandlungskammer (3) durch eine Behandlungsgasdüse (16, 17, 19) einführt und in der Behandlungskammer ein Plasma erzeugt, um eine Oberfläche eines Substrates (6) mit in der Behandlungskammer angeregten und aktivierten Atomen und Molekülen zu behandeln, umfassend einen Behälter (2), der die Behandlungskammer definiert, wobei der Behälter in einen oberen Behälter (31) und einen unteren Behälter (32) geteilt ist, eine umlaufende Nut (46, 47, 48), um das Passieren des Behandlungsgases zu erlauben, wobei die Behandlungsgasdüse mit der umlaufenden Nut verbunden ist, eine Einführungsnut (34, 35, 36), die in dem unteren Behälter gebildet ist, um das Passieren des Behandlungsgases zu erlauben, Behandlungsgaseinführungsmittel (37, 38, 39), die mit der Einführungsnut verbunden sind, und Verbindungsmittel (43, 44, 45, 51, 52, 53), um die umlaufende Nut und die Einführungsnut an einer Vielzahl von Stellen miteinander in Verbindung zu bringen, wobei die Behandlungskammer dadurch gekennzeichnet ist, daß die umlaufende Nut (46, 47, 48) in dem oberen Behälter (31) gebildet ist und daß ein einzelnes Dichtungsringelement (55) an einer Verbindung zwischen dem oberen Behälter (31) und dem unteren Behälter (32) vorgesehen und nur an einer der Behandlungskammer (3) gegenüberliegenden Seite vorgesehen ist, wobei das Verbindungsmittel (43, 44, 45, 51, 52, 53) für die Verbindung zwischen der umlaufenden Nut (46, 47, 48) und der Einführungsnut (34, 35, 36) zwischen dem Dichtringelement (55) und der Behandlungskammer (3) angeordnet ist.
  2. Plasmabehandlungsvorrichtung nach Anspruch 1, dadurch gekennzeichnet, daß die Einführungsnut (34, 35, 36) über eine Länge von ungefähr einer Hälfte eines Umfangs in einer Umfangsrichtung des Behälters (2) gebildet ist, wobei die Behandlungsgaseinführmittel (37, 38, 39) in Verbindung mit einem Abschnitt auf halbem Wege der Einführungsnut (34, 35, 36) sind und wobei die Verbindungsmittel (43, 44, 45, 51, 52, 53) mit gegenüberliegenden Enden der Einführungsnut (34, 35, 36) in Verbindung sind.
  3. Plasmabehandlungsvorrichtung nach Anspruch 1 oder 2, dadurch gekennzeichnet, daß die umlaufende Nut (46, 47, 48), die Einführungsnut (34, 35, 36), ein Verbindungsabschnitt zwischen der Behandlungsgasdüse und der umlaufenden Nut, ein Verbindungsabschnitt zwischen den Behandlungsgaseinführungsmitteln und der Einführungsnut und die Verbindungsmittel (43, 44, 45, 51, 52, 53) zum Herstellen einer Verbindung zwischen der umlaufenden Nut (46, 47, 48) und der Einführungsnut (34, 35, 36) jeweils durch spanabhebende Bearbeitung geformt sind.
  4. Plasmabehandlungsvorrichtung nach einem der Ansprüche 1 bis 3, dadurch gekennzeichnet, daß sie ferner Mittel zum mechanischen Verbinden des oberen Behälters (31) und des unteren Behälters (32) umfaßt.
  5. Plasmabehandlungsvorrichtung nach Anspruch 4, dadurch gekennzeichnet, daß die Mittel zum mechanischen Verbinden des oberen Behälters (31) und des unteren Behälters (32) einen Bolzen (33) umfassen.
  6. Plasmabehandlungsvorrichtung nach einem der Ansprüche 1 bis 5, dadurch gekennzeichnet, daß Mittel vorgesehen sind, um den Druck innerhalb der Behandlungskammer (3) unter dem Druck außerhalb der Behandlungskammer zu halten, um Gase daran zu hindern, aus der Behandlungskammer auszutreten, selbst wenn das einzelne Dichtringelement (55) versagt.
DE60317147T 2002-08-09 2003-08-08 Plasmabearbeitungsvorrichtung Expired - Lifetime DE60317147T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002232332A JP3861036B2 (ja) 2002-08-09 2002-08-09 プラズマcvd装置
JP2002232332 2002-08-09

Publications (2)

Publication Number Publication Date
DE60317147D1 DE60317147D1 (de) 2007-12-13
DE60317147T2 true DE60317147T2 (de) 2008-08-07

Family

ID=31492395

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60317147T Expired - Lifetime DE60317147T2 (de) 2002-08-09 2003-08-08 Plasmabearbeitungsvorrichtung

Country Status (6)

Country Link
US (2) US20040026038A1 (de)
EP (1) EP1398820B1 (de)
JP (1) JP3861036B2 (de)
KR (1) KR100790649B1 (de)
DE (1) DE60317147T2 (de)
TW (1) TWI264062B (de)

Families Citing this family (235)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US20060106635A1 (en) * 2004-11-18 2006-05-18 Karl Ulrich Emission remediation
JP4624856B2 (ja) * 2005-05-30 2011-02-02 東京エレクトロン株式会社 プラズマ処理装置
KR100978407B1 (ko) * 2006-03-06 2010-08-26 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US8092517B2 (en) * 2006-05-25 2012-01-10 Deep Vein Medical, Inc. Device for regulating blood flow
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
JP5179476B2 (ja) * 2007-04-17 2013-04-10 株式会社アルバック 成膜装置
JP5249547B2 (ja) * 2007-09-28 2013-07-31 東京エレクトロン株式会社 プラズマ処理装置及びそのガス排気方法
US20100255216A1 (en) * 2007-11-29 2010-10-07 Haley Jr Robert P Process and apparatus for atmospheric pressure plasma enhanced chemical vapor deposition coating of a substrate
KR101161407B1 (ko) * 2007-12-26 2012-07-09 삼성엘이디 주식회사 화학기상 증착장치
US8298338B2 (en) 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
KR100982985B1 (ko) 2008-04-07 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
KR101004822B1 (ko) * 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
US8847249B2 (en) 2008-06-16 2014-09-30 Soraa, Inc. Solid-state optical device having enhanced indium content in active regions
US8143148B1 (en) 2008-07-14 2012-03-27 Soraa, Inc. Self-aligned multi-dielectric-layer lift off process for laser diode stripes
US8259769B1 (en) 2008-07-14 2012-09-04 Soraa, Inc. Integrated total internal reflectors for high-gain laser diodes with high quality cleaved facets on nonpolar/semipolar GaN substrates
US8805134B1 (en) 2012-02-17 2014-08-12 Soraa Laser Diode, Inc. Methods and apparatus for photonic integration in non-polar and semi-polar oriented wave-guided optical devices
US8284810B1 (en) 2008-08-04 2012-10-09 Soraa, Inc. Solid state laser device using a selected crystal orientation in non-polar or semi-polar GaN containing materials and methods
EP2319086A4 (de) 2008-08-04 2014-08-27 Soraa Inc Weisslichtvorrichtungen mit nicht-polarem oder semipolarem galliumhaltigem material und leuchtstoffen
KR101034505B1 (ko) * 2008-11-27 2011-05-17 세메스 주식회사 가스 공급 장치 및 이를 구비하는 기판 처리 장치
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
CN102396083B (zh) * 2009-04-13 2015-12-16 天空激光二极管有限公司 用于激光器应用的使用gan衬底的光学装置结构
US8242522B1 (en) 2009-05-12 2012-08-14 Soraa, Inc. Optical device structure using non-polar GaN substrates and growth structures for laser applications in 481 nm
US8254425B1 (en) 2009-04-17 2012-08-28 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8837545B2 (en) 2009-04-13 2014-09-16 Soraa Laser Diode, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8294179B1 (en) 2009-04-17 2012-10-23 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8634442B1 (en) 2009-04-13 2014-01-21 Soraa Laser Diode, Inc. Optical device structure using GaN substrates for laser applications
US8416825B1 (en) 2009-04-17 2013-04-09 Soraa, Inc. Optical device structure using GaN substrates and growth structure for laser applications
US8509275B1 (en) 2009-05-29 2013-08-13 Soraa, Inc. Gallium nitride based laser dazzling device and method
US9250044B1 (en) 2009-05-29 2016-02-02 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser diode dazzling devices and methods of use
US9800017B1 (en) 2009-05-29 2017-10-24 Soraa Laser Diode, Inc. Laser device and method for a vehicle
US8427590B2 (en) 2009-05-29 2013-04-23 Soraa, Inc. Laser based display method and system
US9829780B2 (en) 2009-05-29 2017-11-28 Soraa Laser Diode, Inc. Laser light source for a vehicle
US8247887B1 (en) 2009-05-29 2012-08-21 Soraa, Inc. Method and surface morphology of non-polar gallium nitride containing substrates
US10108079B2 (en) 2009-05-29 2018-10-23 Soraa Laser Diode, Inc. Laser light source for a vehicle
US8314429B1 (en) 2009-09-14 2012-11-20 Soraa, Inc. Multi color active regions for white light emitting diode
US8355418B2 (en) 2009-09-17 2013-01-15 Soraa, Inc. Growth structures and method for forming laser diodes on {20-21} or off cut gallium and nitrogen containing substrates
US8750342B1 (en) 2011-09-09 2014-06-10 Soraa Laser Diode, Inc. Laser diodes with scribe structures
US9583678B2 (en) 2009-09-18 2017-02-28 Soraa, Inc. High-performance LED fabrication
US9293644B2 (en) 2009-09-18 2016-03-22 Soraa, Inc. Power light emitting diode and method with uniform current density operation
WO2011035265A1 (en) 2009-09-18 2011-03-24 Soraa, Inc. Power light emitting diode and method with current density operation
US8933644B2 (en) 2009-09-18 2015-01-13 Soraa, Inc. LED lamps with improved quality of light
US8905588B2 (en) 2010-02-03 2014-12-09 Sorra, Inc. System and method for providing color light sources in proximity to predetermined wavelength conversion structures
US10147850B1 (en) 2010-02-03 2018-12-04 Soraa, Inc. System and method for providing color light sources in proximity to predetermined wavelength conversion structures
US9927611B2 (en) 2010-03-29 2018-03-27 Soraa Laser Diode, Inc. Wearable laser based display method and system
US20110247556A1 (en) * 2010-03-31 2011-10-13 Soraa, Inc. Tapered Horizontal Growth Chamber
US8451876B1 (en) 2010-05-17 2013-05-28 Soraa, Inc. Method and system for providing bidirectional light sources with broad spectrum
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8816319B1 (en) 2010-11-05 2014-08-26 Soraa Laser Diode, Inc. Method of strain engineering and related optical device using a gallium and nitrogen containing active region
US8975615B2 (en) 2010-11-09 2015-03-10 Soraa Laser Diode, Inc. Method of fabricating optical devices using laser treatment of contact regions of gallium and nitrogen containing material
US9048170B2 (en) 2010-11-09 2015-06-02 Soraa Laser Diode, Inc. Method of fabricating optical devices using laser treatment
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9025635B2 (en) 2011-01-24 2015-05-05 Soraa Laser Diode, Inc. Laser package having multiple emitters configured on a support member
US9595813B2 (en) 2011-01-24 2017-03-14 Soraa Laser Diode, Inc. Laser package having multiple emitters configured on a substrate member
US9318875B1 (en) 2011-01-24 2016-04-19 Soraa Laser Diode, Inc. Color converting element for laser diode
US9093820B1 (en) 2011-01-25 2015-07-28 Soraa Laser Diode, Inc. Method and structure for laser devices using optical blocking regions
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9236530B2 (en) 2011-04-01 2016-01-12 Soraa, Inc. Miscut bulk substrates
US9287684B2 (en) 2011-04-04 2016-03-15 Soraa Laser Diode, Inc. Laser package having multiple emitters with color wheel
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US9646827B1 (en) 2011-08-23 2017-05-09 Soraa, Inc. Method for smoothing surface of a substrate containing gallium and nitrogen
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8971370B1 (en) 2011-10-13 2015-03-03 Soraa Laser Diode, Inc. Laser devices using a semipolar plane
US9303318B2 (en) * 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
KR101308111B1 (ko) * 2011-11-17 2013-09-26 주식회사 유진테크 복수의 배기포트를 포함하는 기판 처리 장치 및 방법
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9020003B1 (en) 2012-03-14 2015-04-28 Soraa Laser Diode, Inc. Group III-nitride laser diode grown on a semi-polar orientation of gallium and nitrogen containing substrates
US10559939B1 (en) 2012-04-05 2020-02-11 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US9800016B1 (en) 2012-04-05 2017-10-24 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US9343871B1 (en) 2012-04-05 2016-05-17 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US9001319B2 (en) * 2012-05-04 2015-04-07 Ecolab Usa Inc. Self-cleaning optical sensor
US9088135B1 (en) 2012-06-29 2015-07-21 Soraa Laser Diode, Inc. Narrow sized laser diode
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US20140038421A1 (en) * 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition Chamber and Injector
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9184563B1 (en) 2012-08-30 2015-11-10 Soraa Laser Diode, Inc. Laser diodes with an etched facet and surface treatment
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10008368B2 (en) 2013-03-12 2018-06-26 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9166372B1 (en) 2013-06-28 2015-10-20 Soraa Laser Diode, Inc. Gallium nitride containing laser device configured on a patterned substrate
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9362715B2 (en) 2014-02-10 2016-06-07 Soraa Laser Diode, Inc Method for manufacturing gallium and nitrogen bearing laser devices with improved usage of substrate material
US9368939B2 (en) 2013-10-18 2016-06-14 Soraa Laser Diode, Inc. Manufacturable laser diode formed on C-plane gallium and nitrogen material
US9379525B2 (en) 2014-02-10 2016-06-28 Soraa Laser Diode, Inc. Manufacturable laser diode
US9520695B2 (en) 2013-10-18 2016-12-13 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser device having confinement region
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
JP6045485B2 (ja) * 2013-12-20 2016-12-14 東京エレクトロン株式会社 基板処理装置
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9209596B1 (en) 2014-02-07 2015-12-08 Soraa Laser Diode, Inc. Manufacturing a laser diode device from a plurality of gallium and nitrogen containing substrates
US9520697B2 (en) 2014-02-10 2016-12-13 Soraa Laser Diode, Inc. Manufacturable multi-emitter laser diode
US9871350B2 (en) 2014-02-10 2018-01-16 Soraa Laser Diode, Inc. Manufacturable RGB laser diode source
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9564736B1 (en) * 2014-06-26 2017-02-07 Soraa Laser Diode, Inc. Epitaxial growth of p-type cladding regions using nitrogen gas for a gallium and nitrogen containing laser diode
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US10113232B2 (en) 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
CN105529237B (zh) * 2014-10-23 2018-05-01 中微半导体设备(上海)有限公司 气体导流环、气体供应装置及等离子体处理装置
US9246311B1 (en) 2014-11-06 2016-01-26 Soraa Laser Diode, Inc. Method of manufacture for an ultraviolet laser diode
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
US9666677B1 (en) 2014-12-23 2017-05-30 Soraa Laser Diode, Inc. Manufacturable thin film gallium and nitrogen containing devices
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9653642B1 (en) 2014-12-23 2017-05-16 Soraa Laser Diode, Inc. Manufacturable RGB display based on thin film gallium and nitrogen containing light emitting diodes
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11437774B2 (en) 2015-08-19 2022-09-06 Kyocera Sld Laser, Inc. High-luminous flux laser-based white light source
US10938182B2 (en) 2015-08-19 2021-03-02 Soraa Laser Diode, Inc. Specialized integrated light source using a laser diode
US11437775B2 (en) 2015-08-19 2022-09-06 Kyocera Sld Laser, Inc. Integrated light source using a laser diode
US10879673B2 (en) 2015-08-19 2020-12-29 Soraa Laser Diode, Inc. Integrated white light source using a laser diode and a phosphor in a surface mount device package
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9787963B2 (en) 2015-10-08 2017-10-10 Soraa Laser Diode, Inc. Laser lighting having selective resolution
JP7166759B2 (ja) * 2015-12-04 2022-11-08 アプライド マテリアルズ インコーポレイテッド Hdp-cvdチャンバのアーク発生を防止するための高度なコーティング方法および材料
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10771155B2 (en) 2017-09-28 2020-09-08 Soraa Laser Diode, Inc. Intelligent visible light with a gallium and nitrogen containing laser source
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10222474B1 (en) 2017-12-13 2019-03-05 Soraa Laser Diode, Inc. Lidar systems including a gallium and nitrogen containing laser light source
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10551728B1 (en) 2018-04-10 2020-02-04 Soraa Laser Diode, Inc. Structured phosphors for dynamic lighting
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7497354B2 (ja) 2018-12-07 2024-06-10 アプライド マテリアルズ インコーポレイテッド 部品、部品を製造する方法、及び部品を洗浄する方法
US11239637B2 (en) 2018-12-21 2022-02-01 Kyocera Sld Laser, Inc. Fiber delivered laser induced white light system
US11421843B2 (en) 2018-12-21 2022-08-23 Kyocera Sld Laser, Inc. Fiber-delivered laser-induced dynamic light system
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US12000552B2 (en) 2019-01-18 2024-06-04 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system for a vehicle
US11884202B2 (en) 2019-01-18 2024-01-30 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system
US10903623B2 (en) 2019-05-14 2021-01-26 Soraa Laser Diode, Inc. Method and structure for manufacturable large area gallium and nitrogen containing substrate
US11228158B2 (en) 2019-05-14 2022-01-18 Kyocera Sld Laser, Inc. Manufacturable laser diodes on a large area gallium and nitrogen containing substrate
JP6922959B2 (ja) * 2019-09-20 2021-08-18 株式会社明電舎 酸化膜形成装置
TW202230438A (zh) * 2020-10-05 2022-08-01 日商東京威力科創股份有限公司 氣體供給環及基板處理裝置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
TW294820B (en) * 1995-07-10 1997-01-01 Watkins Johnson Co Gas distribution apparatus
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
KR100360401B1 (ko) * 2000-03-17 2002-11-13 삼성전자 주식회사 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치
JP2002001100A (ja) 2000-06-22 2002-01-08 Mitsubishi Heavy Ind Ltd プラズマ処理装置
KR20030001695A (ko) * 2001-06-26 2003-01-08 삼성전자 주식회사 고밀도 플라즈마 화학기상증착 챔버의 세정장치 및 그 방법
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
KR20060059305A (ko) * 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비

Also Published As

Publication number Publication date
EP1398820B1 (de) 2007-10-31
US7806078B2 (en) 2010-10-05
JP2004072002A (ja) 2004-03-04
KR20040014317A (ko) 2004-02-14
EP1398820A3 (de) 2006-01-11
DE60317147D1 (de) 2007-12-13
US20070090032A1 (en) 2007-04-26
US20040026038A1 (en) 2004-02-12
KR100790649B1 (ko) 2007-12-31
TWI264062B (en) 2006-10-11
JP3861036B2 (ja) 2006-12-20
EP1398820A2 (de) 2004-03-17
TW200402775A (en) 2004-02-16

Similar Documents

Publication Publication Date Title
DE60317147T2 (de) Plasmabearbeitungsvorrichtung
DE4241932C2 (de) Verfahren sowie Vorrichtung zur Steuerung des Gasflusses bei CVD-Prozessen
DE112010002199B4 (de) Brausekopf für eine Vakuumschichtabscheidungsvorrichtung
DE69011052T2 (de) Chemische Gasphasen-Beschichtungsanlage der In-Line-Bauart.
EP1507887B1 (de) Mehrplatz-beschichtungsvorrichtung und verfahren zur plasmabeschichtung
DE60203971T2 (de) Modulare Vorrichtung zum Verteilen und Absaugen von Gasen
EP1507893B1 (de) Verfahren und vorrichtung zur plasmabehandlung von werkstücken
EP1507890B1 (de) Verfahren und vorrichtung zur plasmabehandlung von werkstücken
DE60217317T2 (de) Wärmebehandlungsverfahren
DE102005055252A1 (de) CVD-Reaktor mit gleitgelagerten Suszeptorhalter
DE112014001586B4 (de) Vorrichtung zur Bearbeitung von zwei oder mehreren Substraten in einem Batch-Prozess
EP0519215B1 (de) Vorrichtung und Anlage zum Beschichten von kalottenförmigen Substraten
DE112017001127B4 (de) Filmausbildevorrichtung
DE102006018515A1 (de) CVD-Reaktor mit absenkbarer Prozesskammerdecke
EP3433395B1 (de) Verfahren und vorrichtung zur plasmabehandlung von behältern
DE102011000092A1 (de) Schichtabscheidesystem,Schichtabscheideverfahren und dabei verwendete Gaszuführungsvorrichtung
DE102015101462A1 (de) Verfahren und Vorrichtung zum Abscheiden einer III-V-Halbleiterschicht
DE10225607A1 (de) Verfahren und Vorrichtung zur Plasmabehandlung von Werkstücken
DE112010003248B4 (de) Plasma-CVD-Vorrichtung, Plasma-Elektrode und Verfahren zum Herstellen einerHalbleiterschicht
DE102008026001B4 (de) Verfahren und Vorrichtung zur Erzeugung und Bearbeitung von Schichten auf Substraten unter definierter Prozessatmosphäre und Heizelement
DE112010000724T5 (de) Plasmaverarbeitungsvorrichtung und Plasma-CVD-Filmbildungsverfahren
WO2011153993A1 (de) Vorrichtung zur plasmabehandlung von werkstücken
DE112010000869T5 (de) Plasmaverarbeitungsvorrichtung
DE102022204964A1 (de) Cvd-system mit flanschanordnung zur erleichterung einer gleichmässigen und laminaren strömung
WO2000038220A1 (de) Vorrichtung und verfahren zum behandeln von substraten

Legal Events

Date Code Title Description
8364 No opposition during term of opposition