JP2004072002A - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
JP2004072002A
JP2004072002A JP2002232332A JP2002232332A JP2004072002A JP 2004072002 A JP2004072002 A JP 2004072002A JP 2002232332 A JP2002232332 A JP 2002232332A JP 2002232332 A JP2002232332 A JP 2002232332A JP 2004072002 A JP2004072002 A JP 2004072002A
Authority
JP
Japan
Prior art keywords
container
gas
groove
processing
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002232332A
Other languages
English (en)
Other versions
JP3861036B2 (ja
Inventor
Kazuto Yoshida
吉田 和人
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Heavy Industries Ltd
Original Assignee
Mitsubishi Heavy Industries Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Heavy Industries Ltd filed Critical Mitsubishi Heavy Industries Ltd
Priority to JP2002232332A priority Critical patent/JP3861036B2/ja
Priority to TW092119994A priority patent/TWI264062B/zh
Priority to KR1020030054511A priority patent/KR100790649B1/ko
Priority to US10/636,590 priority patent/US20040026038A1/en
Priority to EP03291995A priority patent/EP1398820B1/en
Priority to DE60317147T priority patent/DE60317147T2/de
Publication of JP2004072002A publication Critical patent/JP2004072002A/ja
Priority to US11/608,130 priority patent/US7806078B2/en
Application granted granted Critical
Publication of JP3861036B2 publication Critical patent/JP3861036B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

【課題】ガスの配管を複雑にすることなく容器2の構成を簡素化することができるプラズマCVD装置とする。
【解決手段】容器2の内部に溝により系統毎の導入溝34,35,36及び円周溝46,47,48からなる流路を形成し、原料ガス配管37、補助ガス配管38及びクリーニングガス配管39から導入されたガスを、複数の供給ノズル16、補助ガス供給ノズル17及びクリーニングガスノズル19に均等に供給し、ガスの配管を複雑にすることなく容器2の構成を簡素化することができるプラズマCVD装置とする。
【選択図】    図2

Description

【0001】
【発明の属する技術分野】
本発明は、気相成長法により基板の表面に成膜を行うプラズマ処理装置に関する。
【0002】
【従来の技術】
現在、半導体の製造では、プラズマCVD(Chemical Vapor Deposition) 装置を用いた成膜が知られている。プラズマCVD装置は、膜の材料となる材料ガスを容器内の成膜室の中に導入し、高周波アンテナから高周波を入射してプラズマ状態にし、プラズマ中の活性な励起原子によって基板表面の化学的な反応を促進して成膜を行う装置である。
【0003】
プラズマCVD装置においては、膜の材料となる材料ガスの他に、補助ガスやクリーニングを行う際のクリーニングガスが導入されるようになっている。このため、容器には複数のガスを導入するための配管類が接続されている。また、ガスノズルは円筒状の容器の円周方向に複数設けられている。
【0004】
【発明が解決しようとする課題】
従来のプラズマCVD装置では、容器に複数種類のガスノズルがそれぞれ複数設けられているため、ガスの混在を避けるためにガスの系統毎にガスノズルの本数の配管が接続され、配管が複雑になって容器が複雑な構成になり、製作や分解が困難なものとなっている。
【0005】
このため、ガスの漏洩等を防止するために、配管の溶接部等の検査や配管系統の接続検査を行う場合、多大な労力と時間を必要としているのが現状であった。また、配管の固体差によりガス流量や圧力損失が異なり、ガスの供給量の偏りが発生する虞があった。
【0006】
本発明は上記状況に鑑みてなされたもので、ガスの配管を複雑にすることなく容器の構成を簡素化することができるプラズマ処理装置を提供することを目的とする。
【0007】
【課題を解決するための手段】
上記目的を達成するための本発明のプラズマ処理装置は、処理室に処理ガスノズルからガスを導入し、処理室にプラズマを発生させてそこで励起・活性化された原子・分子により基板の表面に処理を施すプラズマ処理装置において、処理室を形成する容器の外壁と内壁の間の肉厚部に処理ガスが流通する溝を形成し、溝に処理ガスノズルを連結したことを特徴とする。
【0008】
上記目的を達成するための本発明のプラズマ処理装置は、処理室に処理ガスノズルからガスを導入し、処理室にプラズマを発生させてそこで励起・活性化された原子・分子により基板の表面に処理を施すプラズマ処理装置において、処理室を形成する容器を上容器と下容器に分割し、上容器に処理ガスが流通する円周溝を形成すると共に円周溝に処理ガスノズルを連結する一方、下容器に処理ガスが流通する導入溝を形成すると共に導入溝に処理ガス導入手段を連結し、円周溝と導入溝を連通手段により複数箇所で連通したことを特徴とする。
【0009】
そして、請求項2に記載のプラズマ処理装置において、
導入溝は容器の円周方向で略半周の長さに形成され、処理ガス導入手段は導入溝の途中部に連通されると共に、連通手段は導入溝の両端部に連通されていることを特徴とする。
【0010】
また、請求項2もしくは請求項3に記載のプラズマ処理装置において、
円周溝、導入溝、処理ガスノズルと円周溝の連通部、処理ガス導入手段と導入溝の連通部及び円周溝と導入溝を連通する連通手段は、それぞれ機械加工により形成されていることを特徴とする。
【0011】
また、請求項2乃至請求項4のいずれか一項に記載のプラズマ処理装置において、
上容器と下容器の接合部には、円周溝と導入溝を連通する連通手段を挟んで処理室の反対側にシールリング部材が設けられていることを特徴とする。
【0012】
【発明の実施の形態】
図1には本発明の一実施形態例に係るプラズマCVD装置の概略側面、図2にはガス配管の具体的状況を表す容器の要部断面、図3には図2中のIII−III 線矢視、図4には図2中のIV−IV 線矢視、図5には図2中のV−V 線矢視、図6にはガス配管の接続状況を表す概念を示してある。
【0013】
図1に示すように、基部1には円筒状のアルミニウム製の容器2が設けられ、容器2内に処理室としての成膜室3が形成されている。容器2の上部には円形のRF入射窓4が設けられ、容器2の中心における成膜室3には支持台装置5が備えられている。支持台装置5は半導体の基板6を静電的に吸着保持する載置部9を備え、載置部9の下部に静電チャック支持機構を備えた保持機構7が備えられている。
【0014】
支持台装置5の保持機構7には直流電源21及びバイアス印加用高周波電源22が接続され、載置部9に低周波を発生させると共に静電気力を発生させる。支持台装置5は全体が昇降自在に構成されることもあり、上下方向の高さが最適な高さに調整できるようになっている。
【0015】
RF入射窓4の上には、例えば、円形リング状の高周波アンテナ13が配置され、高周波アンテナ13には整合器14を介してプラズマ発生用高周波電源15が接続されている。高周波アンテナ13に電力を供給することにより電磁波が容器2の成膜室3に入射する。容器2内に入射された電磁波は、成膜室3内のガスをイオン化してプラズマを発生させる。
【0016】
容器2には、例えば、シラン(例えば SiH)等の材料ガスを供給する処理ガスノズルとしてのガス供給ノズル16が設けられ、ガス供給ノズル16から成膜室3内に成膜材料(例えばSi)となる材料ガスが供給される。
【0017】
また、容器2にはアルゴンやヘリウム等の不活性ガス(希ガス)や酸素、水素等の補助ガスを供給する処理ガスノズルとしての補助ガス供給ノズル17が設けられ、更に、クリーニングを行うめのフッ素系ガスや塩素系ガス(クリーニングガス)を供給する処理ガスノズルとしてのクリーニングガスノズル19が設けられている。
【0018】
基部1には容器2の内部を排気するための真空排気系(図示省略)に接続される排気口18が設けられ、また、図示は省略したが容器2には基板6の搬入・搬出口が設けられ、図示しない搬送室との間で基板6が搬入・搬出される。
【0019】
上述したプラズマCVD装置では、支持台装置5の載置部7に基板6が載せられ、静電的に吸着される。ガス供給ノズル16から所定流量の材料ガスを成膜室3内に供給すると共に補助ガス供給ノズル17から所定流量の補助ガスを成膜室3内に供給し、成膜室3内を成膜条件に応じた所定圧力に設定する。
【0020】
その後、高周波電源15から高周波アンテナ13に電力を供給して高周波を発生させると共に直流電源21から載置部7に電力を供給して低周波を発生させる。
【0021】
これにより、成膜室3内の材料ガスが放電して一部がプラズマ状態となる。このプラズマは、材料ガス中の他の中性分子に衝突して更に中性分子を電離、あるいは励起する。こうして生じた活性な粒子は、基板6の表面に吸着して効率良く化学反応を起こし、堆積してCVD膜となる。
【0022】
基板6に対する成膜を繰り返して実施して成膜室3の内壁、即ち、容器2の壁面やRF入射窓4の面に成膜材が付着・堆積すると、クリーニングガスノズル19からクリーニングガスを供給しながらプラズマを発生させ、成膜材をエッチングして成膜材を除去する。
【0023】
尚、ガスノズルの種類は上述した実施形態例は一例であり、一種類から複数種類まで任意の種類のガスノズルを設けることが可能である。
【0024】
図2乃至図6に基づいてガス配管の構成を具体的に説明する。
【0025】
図2に示すように、成膜室3を形成する容器2は上容器31及び下容器32に分割され、機械的にボルト33により結合されている。図2、図3に示すように、下容器32には容器2の円周方向で略半周の長さに形成される導入溝34,35,36が形成され、導入溝34,35,36はガスの流路となっている。
【0026】
導入溝34の途中部には処理ガス導入手段としての原料ガス配管37が接続され、導入溝35の途中部には処理ガス導入手段としての補助ガス配管38が接続され、導入溝36の途中部には処理ガス導入手段としてのクリーニングガス配管39が接続されている。
【0027】
図2に示すように、導入溝34,35,36と原料ガス配管37、補助ガス配管38及びクリーニングガス配管39は、縦流路41及び横流路42によりそれぞれ連通して接続されている。導入溝34,35,36及び縦流路41、横流路42は機械加工により形成され、横流路42は下容器32の周面から加工されて周面部が盲部材により閉じられている。縦流路41は下容器32の下面から加工されて横流路42に連通し、下面部に原料ガス配管37、補助ガス配管38及びクリーニングガス配管39が接続されている。
【0028】
流路を機械加工により形成することで、簡単な加工で容器2を構成することができる。
【0029】
そして、導入溝34,35,36の両端には連通手段としての下連通路43,44,45の下端がそれぞれ連通している。下連通路43,44,45は下容器32の上面から縦方向に加工されて導入溝34,35,36の両端部にそれぞれ連通している。
【0030】
尚、導入溝34,35,36を容器2の周方向にわたり円周状に設け、連通手段としての下連通路43,44,45を等間隔に3箇所以上設けることも可能である。
【0031】
一方、図2、図4に示すように、上容器31には容器2の円周方向に形成される円周溝46,47,48が形成され、円周溝46,47,48はガスの流路となっている。円周溝46,47,48には連通手段としての上連通路51,52,53の上端がそれぞれ連通している。
【0032】
上連通路51,52,53は下連通路43,44,45に対応して設けられ、上容器31の下面から縦方向に加工されて上端が円周溝46,47,48に連通している。そして、上連通路51,52,53の下端は下連通路43,44,45の上端に接続されている。
【0033】
円周溝46には穴加工されてガス供給ノズル16が円周方向に等間隔で複数接続され、円周溝47には穴加工されて補助ガス供給ノズル17が円周方向に等間隔で複数接続され、円周溝48には穴加工されてクリーニングガスノズル19が円周方向に等間隔で複数接続されている。
【0034】
即ち、図6に示すように、原料ガス配管37から導入溝34に送られた原料ガスは、導入溝34の両端から下連通路43、上連通路51を通って円周溝46に送られ、円周溝46からガス供給ノズル16に原料ガスが供給される。
【0035】
また、補助ガス配管38から導入溝35に送られた補助ガスは、導入溝35の両端から下連通路44、上連通路52を通って円周溝47に送られ、円周溝47から補助ガス供給ノズル17に補助ガスが供給される。
【0036】
更に、クリーニングガス配管39から導入溝36に送られたクリーニングガスは、導入溝36の両端から下連通路45、上連通路53を通って円周溝48に送られ、円周溝48からクリーニングガスノズル19にクリーニングガスが供給される。
【0037】
一方、図2、図5に示すように、上容器31と下容器32も接合部にはシールリング55が設けられている。シールリング55は、下連通路43,44,45及び上連通路51,52,53からなる連通手段を挟んで成膜室3の反対側(外側)に設けられている。成膜室3の内部は真空状態にされて成膜等の処理が行われるため、万一、ガスが漏洩してシールリング55が機能しない状態であっても、圧力差によりガスは容器2の外に漏れることがない。
【0038】
従って、上述したプラズマCVD装置では、容器2の内部に溝により系統毎の流路が形成され、原料ガス配管37、補助ガス配管38及びクリーニングガス配管39から導入されたガスが、複数の供給ノズル16、補助ガス供給ノズル17及びクリーニングガスノズル19に均等に供給される。
【0039】
これにより、複数の供給ノズル16、補助ガス供給ノズル17及びクリーニングガスノズル19毎の配管が不要となり、簡素な構造の容器2となり、メンテナンス性が向上すると共に、組み立て、分解が簡単で検査性が向上する。
【0040】
また、導入溝34,35,36の両端から円周溝46,47,48にガスが供給されるので、複数の供給ノズル16、補助ガス供給ノズル17及びクリーニングガスノズル19に均等に配分され、ガスの流れ及び圧力損失の分布が均等で理想的となり、固体差の発生を抑制することができる。
【0041】
また、ガスの流路が大気に露出していないので漏洩の虞がなく、系統毎の混合もなく安全性が向上する。そして、シールも簡易シールで十分であり、万一、シール不良が生じてもガスが容器2の外部に漏れる虞がない。
【0042】
また、容器2を上容器31と下容器32とに分割し、上容器31に円周溝46,47,48及び供給ノズル16、補助ガス供給ノズル17及びクリーニングガスノズル19を設けたので、ノズルの配置等を変更する場合、上容器31だけの交換で対処することができ、汎用性を向上させることができる。
【0043】
従って、ガスの配管を複雑にすることなく容器2の構成を簡素化することができるプラズマCVD装置となる。
【0044】
プラズマCVD装置では、容器2の外側に温度制御手段を設けて温度変化を抑えて成膜の温度制御を実施している。このため、一般的には、電気的な加熱/冷却手段やチラー配管、場合によっては保温材等を設け、温度変化の少ない状態の容器2としている。
【0045】
上述したプラズマCVD装置では、容器2の内部に溝により系統毎の流路が形成され、容器2(上容器31、下容器32)の肉厚が増加されているため、温度時定数を下げて熱容量を大きくすることができる。
【0046】
従って、温度変化を抑制するために大容量のヒータや冷却構造を設ける必要がなく、温度制御の部材を設けることなく理想的な温度制御を行うことが可能になる。
【0047】
尚、本願発明は、少なくとも容器2に溝を形成することによりガスの流路を設けて配管を省略した構成とすればよく、容器2を分割せずに溝によりガスの流路を形成したものであってもよい。また、機械加工によらず鋳造時の鋳型の構成により溝を形成することも可能である。
【0048】
【発明の効果】
本発明のプラズマ処理装置は、処理室に処理ガスノズルからガスを導入し、処理室にプラズマを発生させてそこで励起・活性化された原子・分子により基板の表面に処理を施すプラズマ処理装置において、処理室を形成する容器の外壁と内壁の間の肉厚部に処理ガスが流通する溝を形成し、溝に処理ガスノズルを連結したので、処理ガスノズル毎のガスの配管をなくして簡素な容器とすることができる。
【0049】
この結果、ガスの配管を複雑にすることなく容器の構成を簡素化することができるプラズマCVD装置となる。
【0050】
本発明のプラズマ処理装置は、処理室に処理ガスノズルからガスを導入し、処理室にプラズマを発生させてそこで励起・活性化された原子・分子により基板の表面に処理を施すプラズマ処理装置において、処理室を形成する容器を上容器と下容器に分割し、上容器に処理ガスが流通する円周溝を形成すると共に円周溝に処理ガスノズルを連結する一方、下容器に処理ガスが流通する導入溝を形成すると共に導入溝に処理ガス導入手段を連結し、円周溝と導入溝を連通手段により複数箇所で連通したので、処理ガスノズル毎のガスの配管をなくして分割が可能な簡素な容器とすることができる。
【0051】
この結果、ガスの配管を複雑にすることなく容器の構成を簡素化することができるプラズマCVD装置となる。また、組み立て、分解が容易な容器となり、メンテナンス性及び検査性を向上させることができる。
【0052】
そして、請求項2に記載のプラズマ処理装置において、導入溝は容器の円周方向で略半周の長さに形成され、処理ガス導入手段は導入溝の途中部に連通されると共に、連通手段は導入溝の両端部に連通されているので、処理ガスを均等に供給することができる。
【0053】
また、請求項2もしくは請求項3に記載のプラズマ処理装置において、円周溝、導入溝、処理ガスノズルと円周溝の連通部、処理ガス導入手段と導入溝の連通部及び円周溝と導入溝を連通する連通手段は、それぞれ機械加工により形成されているので、加工を容易に実施することができる。
【0054】
また、請求項2乃至請求項4のいずれか一項に記載のプラズマ処理装置において、上容器と下容器の接合部には、円周溝と導入溝を連通する連通手段を挟んで処理室の反対側にシールリング部材が設けられているので、簡易なシールリング部材を用いてもガスの漏れが生じる虞がない。
【図面の簡単な説明】
【図1】本発明の一実施形態例に係るプラズマCVD装置の概略側面図。
【図2】ガス配管の具体的状況を表す容器の要部断面図。
【図3】図2中のIII−III 線矢視図。
【図4】図2中のIV−IV 線矢視図。
【図5】図2中のV−V 線矢視図。
【図6】ガス配管の接続状況を表す概念図。
【符号の説明】
1 基部
2 容器
3 成膜室
4 RF入射窓
5 支持台装置
6 基板
7 保持機構
9 載置部
13 高周波アンテナ
14 整合器
15 プラズマ発生用高周波電源
16 ガス供給ノズル
17 補助ガス供給ノズル
18 排気口
19 クリーニングガスノズル
21 直流電源
22 バイアス印加用高周波電源
31 上容器
32 下容器
33 ボルト
34,35,36 導入溝
37 原料ガス配管
38 補助ガス配管
39 クリーニングガス配管
41 縦流路
42 横流路
43,44,45 下連通路
46,47,48 円周溝
51,52,53 上連通路
55 シールリング

Claims (5)

  1. 処理室に処理ガスノズルからガスを導入し、処理室にプラズマを発生させてそこで励起・活性化された原子・分子により基板の表面に処理を施すプラズマ処理装置において、処理室を形成する容器の外壁と内壁の間の肉厚部に処理ガスが流通する溝を形成し、溝に処理ガスノズルを連結したことを特徴とするプラズマ処理装置。
  2. 処理室に処理ガスノズルからガスを導入し、処理室にプラズマを発生させてそこで励起・活性化された原子・分子により基板の表面に処理を施すプラズマ処理装置において、処理室を形成する容器を上容器と下容器に分割し、上容器に処理ガスが流通する円周溝を形成すると共に円周溝に処理ガスノズルを連結する一方、下容器に処理ガスが流通する導入溝を形成すると共に導入溝に処理ガス導入手段を連結し、円周溝と導入溝を連通手段により複数箇所で連通したことを特徴とするプラズマ処理装置。
  3. 請求項2に記載のプラズマ処理装置において、
    導入溝は容器の円周方向で略半周の長さに形成され、処理ガス導入手段は導入溝の途中部に連通されると共に、連通手段は導入溝の両端部に連通されていることを特徴とするプラズマ処理装置。
  4. 請求項2もしくは請求項3に記載のプラズマ処理装置において、
    円周溝、導入溝、処理ガスノズルと円周溝の連通部、処理ガス導入手段と導入溝の連通部及び円周溝と導入溝を連通する連通手段は、それぞれ機械加工により形成されていることを特徴とするプラズマ処理装置。
  5. 請求項2乃至請求項4のいずれか一項に記載のプラズマ処理装置において、
    上容器と下容器の接合部には、円周溝と導入溝を連通する連通手段を挟んで処理室の反対側にシールリング部材が設けられていることを特徴とするプラズマ処理装置。
JP2002232332A 2002-08-09 2002-08-09 プラズマcvd装置 Expired - Fee Related JP3861036B2 (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2002232332A JP3861036B2 (ja) 2002-08-09 2002-08-09 プラズマcvd装置
TW092119994A TWI264062B (en) 2002-08-09 2003-07-22 Plasma treatment apparatus
KR1020030054511A KR100790649B1 (ko) 2002-08-09 2003-08-07 플라즈마 처리 장치
EP03291995A EP1398820B1 (en) 2002-08-09 2003-08-08 Plasma treatment apparatus
US10/636,590 US20040026038A1 (en) 2002-08-09 2003-08-08 Plasma treatment apparatus
DE60317147T DE60317147T2 (de) 2002-08-09 2003-08-08 Plasmabearbeitungsvorrichtung
US11/608,130 US7806078B2 (en) 2002-08-09 2006-12-07 Plasma treatment apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002232332A JP3861036B2 (ja) 2002-08-09 2002-08-09 プラズマcvd装置

Publications (2)

Publication Number Publication Date
JP2004072002A true JP2004072002A (ja) 2004-03-04
JP3861036B2 JP3861036B2 (ja) 2006-12-20

Family

ID=31492395

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002232332A Expired - Fee Related JP3861036B2 (ja) 2002-08-09 2002-08-09 プラズマcvd装置

Country Status (6)

Country Link
US (2) US20040026038A1 (ja)
EP (1) EP1398820B1 (ja)
JP (1) JP3861036B2 (ja)
KR (1) KR100790649B1 (ja)
DE (1) DE60317147T2 (ja)
TW (1) TWI264062B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100982985B1 (ko) 2008-04-07 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
KR101034505B1 (ko) * 2008-11-27 2011-05-17 세메스 주식회사 가스 공급 장치 및 이를 구비하는 기판 처리 장치
JP2015122355A (ja) * 2013-12-20 2015-07-02 東京エレクトロン株式会社 基板処理装置
CN111293057A (zh) * 2018-12-07 2020-06-16 应用材料公司 部件、制造部件的方法、以及清洁部件的方法

Families Citing this family (231)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US20060106635A1 (en) * 2004-11-18 2006-05-18 Karl Ulrich Emission remediation
JP4624856B2 (ja) * 2005-05-30 2011-02-02 東京エレクトロン株式会社 プラズマ処理装置
US20090065146A1 (en) * 2006-03-06 2009-03-12 Tokyo Electron Limited Plasma processing apparatus
US8092517B2 (en) * 2006-05-25 2012-01-10 Deep Vein Medical, Inc. Device for regulating blood flow
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
CN101657565A (zh) * 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
JP5249547B2 (ja) * 2007-09-28 2013-07-31 東京エレクトロン株式会社 プラズマ処理装置及びそのガス排気方法
US20100255216A1 (en) * 2007-11-29 2010-10-07 Haley Jr Robert P Process and apparatus for atmospheric pressure plasma enhanced chemical vapor deposition coating of a substrate
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
KR101161407B1 (ko) * 2007-12-26 2012-07-09 삼성엘이디 주식회사 화학기상 증착장치
KR101004822B1 (ko) * 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
US8847249B2 (en) 2008-06-16 2014-09-30 Soraa, Inc. Solid-state optical device having enhanced indium content in active regions
US8805134B1 (en) 2012-02-17 2014-08-12 Soraa Laser Diode, Inc. Methods and apparatus for photonic integration in non-polar and semi-polar oriented wave-guided optical devices
US8143148B1 (en) 2008-07-14 2012-03-27 Soraa, Inc. Self-aligned multi-dielectric-layer lift off process for laser diode stripes
US8259769B1 (en) 2008-07-14 2012-09-04 Soraa, Inc. Integrated total internal reflectors for high-gain laser diodes with high quality cleaved facets on nonpolar/semipolar GaN substrates
US8284810B1 (en) 2008-08-04 2012-10-09 Soraa, Inc. Solid state laser device using a selected crystal orientation in non-polar or semi-polar GaN containing materials and methods
WO2010017148A1 (en) 2008-08-04 2010-02-11 Soraa, Inc. White light devices using non-polar or semipolar gallium containing materials and phosphors
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8242522B1 (en) 2009-05-12 2012-08-14 Soraa, Inc. Optical device structure using non-polar GaN substrates and growth structures for laser applications in 481 nm
US8634442B1 (en) 2009-04-13 2014-01-21 Soraa Laser Diode, Inc. Optical device structure using GaN substrates for laser applications
US8837545B2 (en) 2009-04-13 2014-09-16 Soraa Laser Diode, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8254425B1 (en) 2009-04-17 2012-08-28 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications
DE112010001615T5 (de) * 2009-04-13 2012-08-02 Soraa, Inc. Stuktur eines optischen Elements unter Verwendung von GaN-Substraten für Laseranwendungen
US8294179B1 (en) 2009-04-17 2012-10-23 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8416825B1 (en) 2009-04-17 2013-04-09 Soraa, Inc. Optical device structure using GaN substrates and growth structure for laser applications
US9829780B2 (en) 2009-05-29 2017-11-28 Soraa Laser Diode, Inc. Laser light source for a vehicle
US9250044B1 (en) 2009-05-29 2016-02-02 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser diode dazzling devices and methods of use
US9800017B1 (en) 2009-05-29 2017-10-24 Soraa Laser Diode, Inc. Laser device and method for a vehicle
US8427590B2 (en) 2009-05-29 2013-04-23 Soraa, Inc. Laser based display method and system
US8247887B1 (en) 2009-05-29 2012-08-21 Soraa, Inc. Method and surface morphology of non-polar gallium nitride containing substrates
US10108079B2 (en) 2009-05-29 2018-10-23 Soraa Laser Diode, Inc. Laser light source for a vehicle
US8509275B1 (en) 2009-05-29 2013-08-13 Soraa, Inc. Gallium nitride based laser dazzling device and method
US8314429B1 (en) 2009-09-14 2012-11-20 Soraa, Inc. Multi color active regions for white light emitting diode
US8750342B1 (en) 2011-09-09 2014-06-10 Soraa Laser Diode, Inc. Laser diodes with scribe structures
US8355418B2 (en) 2009-09-17 2013-01-15 Soraa, Inc. Growth structures and method for forming laser diodes on {20-21} or off cut gallium and nitrogen containing substrates
US8933644B2 (en) 2009-09-18 2015-01-13 Soraa, Inc. LED lamps with improved quality of light
US9583678B2 (en) 2009-09-18 2017-02-28 Soraa, Inc. High-performance LED fabrication
US9293644B2 (en) 2009-09-18 2016-03-22 Soraa, Inc. Power light emitting diode and method with uniform current density operation
CN102630349B (zh) 2009-09-18 2017-06-13 天空公司 功率发光二极管及利用电流密度操作的方法
US8905588B2 (en) 2010-02-03 2014-12-09 Sorra, Inc. System and method for providing color light sources in proximity to predetermined wavelength conversion structures
US10147850B1 (en) 2010-02-03 2018-12-04 Soraa, Inc. System and method for providing color light sources in proximity to predetermined wavelength conversion structures
US9927611B2 (en) 2010-03-29 2018-03-27 Soraa Laser Diode, Inc. Wearable laser based display method and system
US20110247556A1 (en) * 2010-03-31 2011-10-13 Soraa, Inc. Tapered Horizontal Growth Chamber
US8451876B1 (en) 2010-05-17 2013-05-28 Soraa, Inc. Method and system for providing bidirectional light sources with broad spectrum
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8816319B1 (en) 2010-11-05 2014-08-26 Soraa Laser Diode, Inc. Method of strain engineering and related optical device using a gallium and nitrogen containing active region
US8975615B2 (en) 2010-11-09 2015-03-10 Soraa Laser Diode, Inc. Method of fabricating optical devices using laser treatment of contact regions of gallium and nitrogen containing material
US9048170B2 (en) 2010-11-09 2015-06-02 Soraa Laser Diode, Inc. Method of fabricating optical devices using laser treatment
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9595813B2 (en) 2011-01-24 2017-03-14 Soraa Laser Diode, Inc. Laser package having multiple emitters configured on a substrate member
US9025635B2 (en) 2011-01-24 2015-05-05 Soraa Laser Diode, Inc. Laser package having multiple emitters configured on a support member
US9318875B1 (en) 2011-01-24 2016-04-19 Soraa Laser Diode, Inc. Color converting element for laser diode
US9093820B1 (en) 2011-01-25 2015-07-28 Soraa Laser Diode, Inc. Method and structure for laser devices using optical blocking regions
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9236530B2 (en) 2011-04-01 2016-01-12 Soraa, Inc. Miscut bulk substrates
US9287684B2 (en) 2011-04-04 2016-03-15 Soraa Laser Diode, Inc. Laser package having multiple emitters with color wheel
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US9646827B1 (en) 2011-08-23 2017-05-09 Soraa, Inc. Method for smoothing surface of a substrate containing gallium and nitrogen
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8971370B1 (en) 2011-10-13 2015-03-03 Soraa Laser Diode, Inc. Laser devices using a semipolar plane
US9303318B2 (en) 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
KR101308111B1 (ko) * 2011-11-17 2013-09-26 주식회사 유진테크 복수의 배기포트를 포함하는 기판 처리 장치 및 방법
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9020003B1 (en) 2012-03-14 2015-04-28 Soraa Laser Diode, Inc. Group III-nitride laser diode grown on a semi-polar orientation of gallium and nitrogen containing substrates
US9800016B1 (en) 2012-04-05 2017-10-24 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US10559939B1 (en) 2012-04-05 2020-02-11 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US9343871B1 (en) 2012-04-05 2016-05-17 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US9001319B2 (en) * 2012-05-04 2015-04-07 Ecolab Usa Inc. Self-cleaning optical sensor
US9088135B1 (en) 2012-06-29 2015-07-21 Soraa Laser Diode, Inc. Narrow sized laser diode
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US20140038421A1 (en) * 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition Chamber and Injector
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9184563B1 (en) 2012-08-30 2015-11-10 Soraa Laser Diode, Inc. Laser diodes with an etched facet and surface treatment
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
KR102104018B1 (ko) * 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9166372B1 (en) 2013-06-28 2015-10-20 Soraa Laser Diode, Inc. Gallium nitride containing laser device configured on a patterned substrate
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9368939B2 (en) 2013-10-18 2016-06-14 Soraa Laser Diode, Inc. Manufacturable laser diode formed on C-plane gallium and nitrogen material
US9379525B2 (en) 2014-02-10 2016-06-28 Soraa Laser Diode, Inc. Manufacturable laser diode
US9520695B2 (en) 2013-10-18 2016-12-13 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser device having confinement region
US9362715B2 (en) 2014-02-10 2016-06-07 Soraa Laser Diode, Inc Method for manufacturing gallium and nitrogen bearing laser devices with improved usage of substrate material
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9209596B1 (en) 2014-02-07 2015-12-08 Soraa Laser Diode, Inc. Manufacturing a laser diode device from a plurality of gallium and nitrogen containing substrates
US9871350B2 (en) 2014-02-10 2018-01-16 Soraa Laser Diode, Inc. Manufacturable RGB laser diode source
US9520697B2 (en) 2014-02-10 2016-12-13 Soraa Laser Diode, Inc. Manufacturable multi-emitter laser diode
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9564736B1 (en) * 2014-06-26 2017-02-07 Soraa Laser Diode, Inc. Epitaxial growth of p-type cladding regions using nitrogen gas for a gallium and nitrogen containing laser diode
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10113232B2 (en) 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
CN105529237B (zh) * 2014-10-23 2018-05-01 中微半导体设备(上海)有限公司 气体导流环、气体供应装置及等离子体处理装置
US9246311B1 (en) 2014-11-06 2016-01-26 Soraa Laser Diode, Inc. Method of manufacture for an ultraviolet laser diode
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
US9653642B1 (en) 2014-12-23 2017-05-16 Soraa Laser Diode, Inc. Manufacturable RGB display based on thin film gallium and nitrogen containing light emitting diodes
US9666677B1 (en) 2014-12-23 2017-05-30 Soraa Laser Diode, Inc. Manufacturable thin film gallium and nitrogen containing devices
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10938182B2 (en) 2015-08-19 2021-03-02 Soraa Laser Diode, Inc. Specialized integrated light source using a laser diode
US11437775B2 (en) 2015-08-19 2022-09-06 Kyocera Sld Laser, Inc. Integrated light source using a laser diode
US11437774B2 (en) 2015-08-19 2022-09-06 Kyocera Sld Laser, Inc. High-luminous flux laser-based white light source
US10879673B2 (en) 2015-08-19 2020-12-29 Soraa Laser Diode, Inc. Integrated white light source using a laser diode and a phosphor in a surface mount device package
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9787963B2 (en) 2015-10-08 2017-10-10 Soraa Laser Diode, Inc. Laser lighting having selective resolution
JP7166759B2 (ja) * 2015-12-04 2022-11-08 アプライド マテリアルズ インコーポレイテッド Hdp-cvdチャンバのアーク発生を防止するための高度なコーティング方法および材料
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10771155B2 (en) 2017-09-28 2020-09-08 Soraa Laser Diode, Inc. Intelligent visible light with a gallium and nitrogen containing laser source
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10222474B1 (en) 2017-12-13 2019-03-05 Soraa Laser Diode, Inc. Lidar systems including a gallium and nitrogen containing laser light source
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10551728B1 (en) 2018-04-10 2020-02-04 Soraa Laser Diode, Inc. Structured phosphors for dynamic lighting
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11239637B2 (en) 2018-12-21 2022-02-01 Kyocera Sld Laser, Inc. Fiber delivered laser induced white light system
US11421843B2 (en) 2018-12-21 2022-08-23 Kyocera Sld Laser, Inc. Fiber-delivered laser-induced dynamic light system
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11884202B2 (en) 2019-01-18 2024-01-30 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system
US12000552B2 (en) 2019-01-18 2024-06-04 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system for a vehicle
US11228158B2 (en) 2019-05-14 2022-01-18 Kyocera Sld Laser, Inc. Manufacturable laser diodes on a large area gallium and nitrogen containing substrate
US10903623B2 (en) 2019-05-14 2021-01-26 Soraa Laser Diode, Inc. Method and structure for manufacturable large area gallium and nitrogen containing substrate
JP6922959B2 (ja) * 2019-09-20 2021-08-18 株式会社明電舎 酸化膜形成装置
TW202230438A (zh) * 2020-10-05 2022-08-01 日商東京威力科創股份有限公司 氣體供給環及基板處理裝置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
TW294820B (en) * 1995-07-10 1997-01-01 Watkins Johnson Co Gas distribution apparatus
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
KR100360401B1 (ko) * 2000-03-17 2002-11-13 삼성전자 주식회사 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치
JP2002001100A (ja) 2000-06-22 2002-01-08 Mitsubishi Heavy Ind Ltd プラズマ処理装置
KR20030001695A (ko) * 2001-06-26 2003-01-08 삼성전자 주식회사 고밀도 플라즈마 화학기상증착 챔버의 세정장치 및 그 방법
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
KR20060059305A (ko) * 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100982985B1 (ko) 2008-04-07 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
KR101034505B1 (ko) * 2008-11-27 2011-05-17 세메스 주식회사 가스 공급 장치 및 이를 구비하는 기판 처리 장치
JP2015122355A (ja) * 2013-12-20 2015-07-02 東京エレクトロン株式会社 基板処理装置
CN111293057A (zh) * 2018-12-07 2020-06-16 应用材料公司 部件、制造部件的方法、以及清洁部件的方法
JP7497354B2 (ja) 2018-12-07 2024-06-10 アプライド マテリアルズ インコーポレイテッド 部品、部品を製造する方法、及び部品を洗浄する方法

Also Published As

Publication number Publication date
TW200402775A (en) 2004-02-16
EP1398820B1 (en) 2007-10-31
TWI264062B (en) 2006-10-11
KR100790649B1 (ko) 2007-12-31
DE60317147T2 (de) 2008-08-07
EP1398820A2 (en) 2004-03-17
US20070090032A1 (en) 2007-04-26
JP3861036B2 (ja) 2006-12-20
DE60317147D1 (de) 2007-12-13
US20040026038A1 (en) 2004-02-12
US7806078B2 (en) 2010-10-05
EP1398820A3 (en) 2006-01-11
KR20040014317A (ko) 2004-02-14

Similar Documents

Publication Publication Date Title
JP3861036B2 (ja) プラズマcvd装置
CN106148916B (zh) 高温衬底基座模块及其组件
JP4948021B2 (ja) 触媒体化学気相成長装置
KR101111556B1 (ko) 듀얼 챔버 플라즈마 처리 장치
US7763115B2 (en) Vacuum film-forming apparatus
JP4652327B2 (ja) 基板処理装置
US10428426B2 (en) Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
KR101104536B1 (ko) 플라즈마 처리 장치
JP2005150612A (ja) プラズマ成膜装置及びプラズマ成膜方法
JP2015010281A (ja) ガスシールを有する化学蒸着チャンバ
US20020134308A1 (en) Vacuum processing apparatus
KR20130031236A (ko) 트윈 챔버 프로세싱 시스템
WO2009009607A1 (en) Apparatus and method for processing a substrate edge region
WO2021003005A1 (en) Isolator apparatus and method for substrate processing chambers
JP6559932B2 (ja) 半導体被加工物を加工する装置
JP7358301B2 (ja) ウエハガス放出のためのプラズマエンハンストアニールチャンバ
KR20210057669A (ko) 플라즈마 처리 장치
US5164017A (en) Method for cleaning reactors used for gas-phase processing of workpieces
JP2016162794A (ja) 真空処理装置
JP2010192513A (ja) プラズマ処理装置およびその運転方法
JP2003027242A (ja) プラズマcvd装置及びそれを用いた成膜方法
KR100480342B1 (ko) 플라즈마발생소스,진공펌프장치및/또는캔티레버화된기판지지부와같은장비모듈을구비하는고유동진공챔버
TWI809496B (zh) 高傳導度製程套件
JP2003003263A (ja) プラズマcvd装置
JPH07292475A (ja) プラズマエンハンスメント気相成長方法及びその装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050727

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060606

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060807

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060829

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060925

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090929

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100929

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110929

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110929

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120929

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120929

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130929

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees