US20040026038A1 - Plasma treatment apparatus - Google Patents

Plasma treatment apparatus Download PDF

Info

Publication number
US20040026038A1
US20040026038A1 US10/636,590 US63659003A US2004026038A1 US 20040026038 A1 US20040026038 A1 US 20040026038A1 US 63659003 A US63659003 A US 63659003A US 2004026038 A1 US2004026038 A1 US 2004026038A1
Authority
US
United States
Prior art keywords
container
treatment
gas
groove
introduction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/636,590
Other languages
English (en)
Inventor
Kazuto Yoshida
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Heavy Industries Ltd
Original Assignee
Kazuto Yoshida
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kazuto Yoshida filed Critical Kazuto Yoshida
Publication of US20040026038A1 publication Critical patent/US20040026038A1/en
Assigned to MITSUBISHI HEAVY INDUSTRIES, LTD. reassignment MITSUBISHI HEAVY INDUSTRIES, LTD. CORRECTED COVER SHEET TO CORRECT ASSIGNOR'S NAME, PREVIOUSLY RECORDED AT REEL/FRAME 014570/0369 (ASSIGNMENT OF ASSIGNOR'S INTEREST) Assignors: YOSHIDA, KAZUTO
Priority to US11/608,130 priority Critical patent/US7806078B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Definitions

  • This invention relates to a plasma treatment apparatus for forming a film on the surface of a substrate by vapor deposition.
  • the plasma CVD system is a system in which a source gas for constituting a film is introduced into a film formation chamber within a container, high frequency waves are shot from a high frequency antenna to convert the source gas into the state of a plasma, and excited active atoms in the plasma promote chemical reactions on the surface of the substrate to carry out film formation.
  • an auxiliary gas and a cleaning gas for performing cleaning are introduced, along with the source gas which will become the material for the film.
  • pipings for introducing a plurality of gases are connected to the container.
  • a plurality of gas nozzles are provided in the circumferential direction of the container which is cylindrical in shape.
  • the plurality of gas nozzles are provided in the container such that plural types of gas nozzles for the different types of gases are present, and plural gas nozzles of each type are present.
  • the same number of the pipings as the number of the gas nozzles are connected for each of the types of the gases.
  • the piping system is complicated, making the configuration of the container complicated, eventually making the manufacture and disassembly of the container difficult.
  • the present invention has been accomplished in the light of the above -mention problems with the earlier technologies. It is the object of the invention to provide a plasma treatment apparatus which can simply the configuration of the container without complicating the pipings for gases.
  • a plasma treatment apparatus which introduces a treatment gas into a treatment chamber through a treatment gas nozzle, and generates a plasma in the treatment chamber to treat a surface of a substrate with atoms and molecules excited and activated in the treatment chamber, comprising:
  • the container can be simplified without the need to provide gas piping for each of the treatment gas nozzles.
  • the plasma CVD apparatus can simplify the configuration of the container without complicating the gas pipings.
  • a plasma treatment apparatus which introduces a treatment gas into a treatment chamber through a treatment gas nozzle, and generates a plasma in the treatment chamber to treat a surface of a substrate with atoms and molecules excited and activated in the treatment chamber, comprising:
  • a container defining the treatment chamber the container being divided into an upper container and a lower container;
  • treatment gas introduction means connected to the introduction groove
  • communication means for bringing the circumferential groove and the introduction groove into communication with each other at a plurality of locations.
  • the container can be simplified and is divisible, without the need to provide gas piping for each of the treatment gas nozzles.
  • the plasma CVD apparatus can simplify the configuration of the container without complicating the gas pipings. Also, the container is easy to assemble and disassemble, and its maintainability and inspectability can be improved.
  • the introduction groove may be formed over a length of nearly a half of a circumference in a circumferential direction of the container; the treatment gas introduction means may be in communication with a halfway portion of the introduction groove; and the communication means may be in communication with opposite ends of the introduction groove. Because of this feature, the treatment gases can be equally supplied.
  • the circumferential groove, the introduction groove, a portion of communication between the treatment gas nozzle and the circumferential groove, a portion of communication between the treatment gas introduction means and the introduction groove, and the communication means for establishing communication between the circumferential groove and the introduction groove may be each formed by machining. According to this feature, machining can be performed easily.
  • a seal ring member may be provided at a junction between the upper container and the lower container, and disposed on a side opposite to the treatment chamber, with the communication means for communication between the circumferential groove and the introduction groove being located between the seal ring member and the treatment chamber. Because of this feature, gas leakage is unlikely even with the use of a simple seal ring member.
  • FIG. 1 is a schematic side view of a plasma CVD apparatus according to an embodiment of the present invention
  • FIG. 2 is a sectional view of essential parts of a container showing the concrete situation of gas pipings
  • FIG. 3 is a view taken along line III-III of FIG. 2;
  • FIG. 4 is a view taken along line IV-IV of FIG. 2;
  • FIG. 5 is a view taken along line V-V of FIG. 2;
  • FIG. 6 is a conceptual view showing the situation of connection of the gas pipings.
  • FIG. 1 is a schematic side view of a plasma CVD apparatus according to an embodiment of the present invention.
  • FIG. 2 is a sectional view of essential parts of a container showing the concrete situation of gas pipings.
  • FIG. 3 is a view taken along line III-III of FIG. 2.
  • FIG. 4 is a view taken along line IV-IV of FIG. 2.
  • FIG. 5 is a view taken along line V-V of FIG. 2.
  • FIG. 6 is a conceptual view showing the situation of connection of the gas pipings.
  • a cylindrical aluminum container 2 is provided on a base 1 , and a film formation chamber 3 as a treatment chamber is defined within the container 2 .
  • a circular RF entrance window 4 is provided at the top of the container 2 .
  • the film formation chamber 3 at the center of the container 2 is equipped with a support stand device 5 .
  • the support stand device 5 has a bearing portion 9 which electrostatically attracts and holds a substrate 6 of a semiconductor.
  • a holding mechanism 7 equipped with an electrostatic chuck support mechanism is provided below the bearing portion 9 .
  • a direct current power source 21 and a bias applying high frequency power source 22 are connected to the holding mechanism 7 of the support stand device 5 to generate low frequency waves in the bearing portion 9 and also produce an electrostatic force therein.
  • the support stand device 5 is free to move up and down as a whole, so that its height in the vertical direction can be adjusted to an optimal height.
  • a high frequency antenna 13 of, for example, a circular ring shape is disposed on the RF entrance window 4 .
  • a plasma generating high frequency power source 15 is connected to the high frequency antenna 13 via a matching instrument 14 .
  • electromagnetic waves are shot into the film formation chamber 3 of the container 2 .
  • the electromagnetic waves shot into the container 2 ionize gases in the film formation chamber 3 to generate a plasma.
  • the container 2 is provided with gas supply nozzles 16 , as treatment gas nozzles, for supplying a source gas such as a silane (for example, SiH 4 ).
  • a source gas such as a silane (for example, SiH 4 ).
  • the source gas which will become a film forming material (for example, Si), is supplied into the film formation chamber 3 through the gas supply nozzles 16 .
  • the container 2 is also provided with auxiliary gas supply nozzles 17 , as treatment gas nozzles, for supplying an inert gas (rare gas), such as argon or helium, or an auxiliary gas such as oxygen or hydrogen.
  • auxiliary gas supply nozzles 17 as treatment gas nozzles, for supplying an inert gas (rare gas), such as argon or helium, or an auxiliary gas such as oxygen or hydrogen.
  • cleaning gas nozzles 19 as treatment gas nozzles, for supplying a fluorine-based gas or a chlorine-based gas (cleaning gas) which carries out cleaning.
  • An exhaust port 18 which is connected to a vacuum pumping system (not shown) for evacuating the interior of the container 2 , is provided in the base 1 . Also, a carry-in/carry-out port for the substrate 6 is provided in the container 2 , although it is not shown, for carrying the substrate 6 from a transport chamber (not shown) into the container 2 and carrying the substrate 6 out of the container 2 to the transport chamber.
  • the substrate 6 is placed on the bearing portion 9 of the support stand device 5 , and electrostatically attracted thereto.
  • the source gas at a predetermined flow rate was supplied through the gas supply nozzles 16 into the film formation chamber 3
  • the auxiliary gas at a predetermined flow rate was supplied through the auxiliary gas supply nozzles 17 into the film formation chamber 3 .
  • the interior of the film formation chamber 3 is set at a predetermined pressure adapted to the film forming conditions.
  • the electric power is supplied from the high frequency power source 15 to the high frequency antenna 13 to generate high frequency waves.
  • the electric power is supplied from the direct current power source 21 to the bearing portion 9 to generate low frequency waves.
  • the source gas within the film formation chamber 3 discharges, and partly comes into a plasma state.
  • This plasma collides with other neutral molecules in the source gas, further ionizing or exciting the neutral molecules.
  • the so formed active particles are attracted to the surface of the substrate 6 to cause a chemical reaction with high efficiency, thereby depositing thereon to form a CVD film.
  • the film forming material adheres to and deposits on the inner wall of the film formation chamber 3 , namely, the wall surface of the container 2 or the surface of the RF entrance window 4 .
  • the plasma is generated, with the cleaning gas being supplied through the cleaning gas nozzles 19 , to etch away the film forming material.
  • the types of the gas nozzles in the above-described embodiment are only for illustration, and any types of gas nozzles, including a single type to a plurality of types, can be provided.
  • the container 2 defining the film formation chamber 3 is divided into an upper container 31 and a lower container 32 , which were mechanically bonded together by bolts 33 .
  • introduction grooves 34 , 35 , 36 which are each formed over the length of nearly a half of a circumference in the circumferential direction of the container 2 , are formed in the lower container 32 .
  • the introduction grooves 34 , 35 , 36 serve as channels for the gases.
  • Source gas piping 37 is connected to a halfway portion of the introduction groove 34 .
  • Auxiliary gas piping 38 is connected to a halfway portion of the introduction groove 35 .
  • Cleaning gas piping 39 is connected to a halfway portion of the introduction groove 36 .
  • the introduction grooves 34 , 35 , 36 and the source gas piping 37 , auxiliary gas piping 38 and cleaning gas piping 39 are connected to each other in communication with vertical channels 41 and horizontal channels 42 .
  • the introduction grooves 34 , 35 , 36 , the vertical channels 41 , and the horizontal channels 42 are formed by machining.
  • the horizontal channels 42 are each machined, starting at the circumferential surface of the lower container 32 , and a circumferential surface portion of the horizontal channel 42 is closed with a blindmember.
  • the vertical channels 41 are machined, starting at the lower surface of the lower container 32 , and brought into communication with the horizontal channels 42 .
  • the source gas piping 37 , auxiliary gas piping 38 and cleaning gas piping 39 are connected to lower surface portions of the vertical channels 41 .
  • the lower ends of lower communication paths 43 , 44 , 45 communicate with both ends of the introduction grooves 34 , 35 , 36 , respectively.
  • the lower communication paths 43 , 44 , 45 are vertically machined, starting at the upper surface of the lower container 32 , and are brought into communication with the opposite ends of the introduction grooves 34 , 35 , 36 , respectively.
  • the introduction grooves 34 , 35 , 36 may be provided in a circumferential form in the entire circumferential direction of the container 2 , and the lower communication paths 43 , 44 , 45 , as communication means, may be provided at 3 or more locations with equal spacing.
  • circumferential grooves 46 , 47 , 48 which are formed in the circumferential direction of the container 2 , are formed in the upper container 31 .
  • the circumferential grooves 46 , 47 , 48 serve as channels for gases.
  • the upper ends of upper communication paths 51 , 52 , 53 as communication means, communicate with the circumferential grooves 46 , 47 , 48 , respectively.
  • the upper communication paths 51 , 52 , 53 are provided in correspondence with the lower communication paths 43 , 44 , 45 .
  • the upper communication paths 51 , 52 , 53 are machined in the vertically direction, starting at the lower surface of the upper container 31 , and have the upper ends in communication with the circumferential grooves 46 , 47 , 48 .
  • the lower ends of the upper communication paths 51 , 52 , 53 are connected to the upper ends of the lower communication paths 43 , 44 , 45 .
  • the circumferential groove 46 is bored so that a plurality of the gas supply nozzles 16 are connected thereto with equal spacing in the circumferential direction.
  • the circumferential groove 47 is bored so that a plurality of the auxiliary gas supply nozzles 17 are connected thereto with equal spacing in the circumferential direction.
  • the circumferential groove 48 is bored so that a plurality of the cleaning gas nozzles 19 are connected thereto with equal spacing in the circumferential direction.
  • the source gas fed from the source gas piping 37 to the introduction groove 34 is fed from the opposite ends of the introduction groove 34 to the circumferential groove 46 through the lower communication paths 43 and the upper communication paths 51 , whereafter the source gas is supplied to the gas supply nozzles 16 through the circumferential groove 46 .
  • the auxiliary gas fed from the auxiliary gas piping 38 to the introduction groove 35 is fed from the opposite ends of the introduction groove 35 to the circumferential groove 47 through the lower communication paths 44 and the upper communication paths 52 , whereafter the auxiliary gas is supplied to the auxiliary gas supply nozzles 17 through the circumferential groove 47 .
  • the cleaning gas fed from the cleaning gas piping 39 to the introduction groove 36 is fed from the opposite ends of the introduction groove 36 to the circumferential groove 48 through the lower communication paths 45 and the upper communication paths 53 , whereafter the cleaning gas is supplied to the cleaning gas nozzles 19 through the circumferential groove 48 .
  • a seal ring 55 is provided at the junction between the upper container 31 and the lower container 32 .
  • the seal ring 55 is disposed on the side opposite to the film formation chamber 3 (i.e., outwardly of the film formation chamber 3 ), with the communication means composed of the lower communication paths 43 , 44 , 45 and the upper communication paths 51 , 52 , 53 being located between the seal ring 55 and the film formation chamber 3 .
  • Treatments, such as film formation are performed, with the interior of the film formation chamber 3 being kept in a vacuum state. Even if the gases leak and the seal ring 55 does not function, therefore, the gases do not escape to the outside of the container 2 because of a pressure differential.
  • the channels for different types of gases are formed within the container 2 by the grooves, and the gases introduced through the source gas piping 37 , the auxiliary gas piping 38 and the cleaning gas piping 39 are equally supplied to the plural gas supply nozzles 16 , the plural auxiliary gas supply nozzles 17 , and the plural cleaning gas nozzles 19 .
  • This configuration obviates the necessity for providing piping for each of the plurality of gas supply nozzles 16 , auxiliary gas supply nozzles 17 and cleaning gas nozzles 19 .
  • the container 2 is of a simple structure, so that its maintainability increases, its assembly and disassembly are simplified, and its inspectability improves.
  • the gases are supplied from the opposite ends of the introduction grooves 34 , 35 , 36 to the circumferential grooves 46 , 47 , 48 .
  • the gases are equally distributed to the plural gas supply nozzles 16 , the plural auxiliary gas supply nozzles 17 , and the plural cleaning gas nozzles 19 .
  • the flows of the gases and the distributions of the pressure losses are uniform and ideal, so that the occurrence of differences among the individual pipings, etc. can be suppressed.
  • the channels for the gases are not exposed to the atmosphere. Thus, there is no risk of leakage, there is no mixing of the different types of gases, and safety is increased. Moreover, a simple seal is sufficient for sealing purposes. Even if a sealing failure occurs, leakage of the gases to the outside of the container 2 is unlikely.
  • the container 2 is divided into the upper container 31 and the lower container 32 .
  • the circumferential grooves 46 , 47 , 48 and the gas supply nozzles 16 , auxiliary gas supply nozzles 17 and cleaning gas nozzles 19 are provided in the upper container 31 .
  • temperature control means is provided outside a container 2 to exercise temperature control of film formation while suppressing temperature changes.
  • electrical heating/cooling means chiller piping and, if desired, a heat insulating material, etc., thereby constructing a container 2 minimally varying in temperature.
  • the channels for the different types of gases are formed within the container 2 by the grooves, and the wall thickness of the container 2 (upper container 31 , lower container 32 ) is increased.
  • the time constant of temperature can be decreased to increase heat capacity.
  • the present invention may be constituted such that the grooves are formed at least in the container 2 , whereby the channels for the gases are provided to dispense with piping. As long as the channels for the gases are formed by the grooves, the container 2 need not be divided. Alternatively, the grooves may be formed by use of molds during casting, without relying on machining.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
US10/636,590 2002-08-09 2003-08-08 Plasma treatment apparatus Abandoned US20040026038A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/608,130 US7806078B2 (en) 2002-08-09 2006-12-07 Plasma treatment apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002-232332 2002-08-09
JP2002232332A JP3861036B2 (ja) 2002-08-09 2002-08-09 プラズマcvd装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/608,130 Division US7806078B2 (en) 2002-08-09 2006-12-07 Plasma treatment apparatus

Publications (1)

Publication Number Publication Date
US20040026038A1 true US20040026038A1 (en) 2004-02-12

Family

ID=31492395

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/636,590 Abandoned US20040026038A1 (en) 2002-08-09 2003-08-08 Plasma treatment apparatus
US11/608,130 Expired - Fee Related US7806078B2 (en) 2002-08-09 2006-12-07 Plasma treatment apparatus

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/608,130 Expired - Fee Related US7806078B2 (en) 2002-08-09 2006-12-07 Plasma treatment apparatus

Country Status (6)

Country Link
US (2) US20040026038A1 (ja)
EP (1) EP1398820B1 (ja)
JP (1) JP3861036B2 (ja)
KR (1) KR100790649B1 (ja)
DE (1) DE60317147T2 (ja)
TW (1) TWI264062B (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060106635A1 (en) * 2004-11-18 2006-05-18 Karl Ulrich Emission remediation
US20090065146A1 (en) * 2006-03-06 2009-03-12 Tokyo Electron Limited Plasma processing apparatus
US20100012275A1 (en) * 2005-05-30 2010-01-21 Tokyo Electron Limited Plasma processing apparatus
US20100239756A1 (en) * 2007-09-28 2010-09-23 Tokyo Electron Limited Plasma processing apparatus and gas exhaust method
WO2013059102A1 (en) * 2011-10-20 2013-04-25 Applied Materials, Inc. Multiple complementary gas distribution assemblies
US20140038421A1 (en) * 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition Chamber and Injector
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
US20150177124A1 (en) * 2012-05-04 2015-06-25 Ecolab Usa Inc Self-cleaning optical sensor
JP2015122355A (ja) * 2013-12-20 2015-07-02 東京エレクトロン株式会社 基板処理装置
US9875895B2 (en) * 2011-11-17 2018-01-23 Eugene Technology Co., Ltd. Substrate processing apparatus including exhaust ports and substrate processing method
US20180064534A1 (en) * 2006-05-25 2018-03-08 Deep Vein Medical, Inc. Device for regulating blood flow

Families Citing this family (224)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
CN101657565A (zh) * 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
US20100255216A1 (en) * 2007-11-29 2010-10-07 Haley Jr Robert P Process and apparatus for atmospheric pressure plasma enhanced chemical vapor deposition coating of a substrate
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
KR101161407B1 (ko) * 2007-12-26 2012-07-09 삼성엘이디 주식회사 화학기상 증착장치
KR100982985B1 (ko) 2008-04-07 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
KR101004822B1 (ko) * 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
US8847249B2 (en) 2008-06-16 2014-09-30 Soraa, Inc. Solid-state optical device having enhanced indium content in active regions
US8805134B1 (en) 2012-02-17 2014-08-12 Soraa Laser Diode, Inc. Methods and apparatus for photonic integration in non-polar and semi-polar oriented wave-guided optical devices
US8143148B1 (en) 2008-07-14 2012-03-27 Soraa, Inc. Self-aligned multi-dielectric-layer lift off process for laser diode stripes
US8259769B1 (en) 2008-07-14 2012-09-04 Soraa, Inc. Integrated total internal reflectors for high-gain laser diodes with high quality cleaved facets on nonpolar/semipolar GaN substrates
US8284810B1 (en) 2008-08-04 2012-10-09 Soraa, Inc. Solid state laser device using a selected crystal orientation in non-polar or semi-polar GaN containing materials and methods
WO2010017148A1 (en) 2008-08-04 2010-02-11 Soraa, Inc. White light devices using non-polar or semipolar gallium containing materials and phosphors
KR101034505B1 (ko) * 2008-11-27 2011-05-17 세메스 주식회사 가스 공급 장치 및 이를 구비하는 기판 처리 장치
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8242522B1 (en) 2009-05-12 2012-08-14 Soraa, Inc. Optical device structure using non-polar GaN substrates and growth structures for laser applications in 481 nm
US8634442B1 (en) 2009-04-13 2014-01-21 Soraa Laser Diode, Inc. Optical device structure using GaN substrates for laser applications
US8837545B2 (en) 2009-04-13 2014-09-16 Soraa Laser Diode, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8254425B1 (en) 2009-04-17 2012-08-28 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications
DE112010001615T5 (de) * 2009-04-13 2012-08-02 Soraa, Inc. Stuktur eines optischen Elements unter Verwendung von GaN-Substraten für Laseranwendungen
US8294179B1 (en) 2009-04-17 2012-10-23 Soraa, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8416825B1 (en) 2009-04-17 2013-04-09 Soraa, Inc. Optical device structure using GaN substrates and growth structure for laser applications
US9829780B2 (en) 2009-05-29 2017-11-28 Soraa Laser Diode, Inc. Laser light source for a vehicle
US9250044B1 (en) 2009-05-29 2016-02-02 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser diode dazzling devices and methods of use
US9800017B1 (en) 2009-05-29 2017-10-24 Soraa Laser Diode, Inc. Laser device and method for a vehicle
US8427590B2 (en) 2009-05-29 2013-04-23 Soraa, Inc. Laser based display method and system
US8247887B1 (en) 2009-05-29 2012-08-21 Soraa, Inc. Method and surface morphology of non-polar gallium nitride containing substrates
US10108079B2 (en) 2009-05-29 2018-10-23 Soraa Laser Diode, Inc. Laser light source for a vehicle
US8509275B1 (en) 2009-05-29 2013-08-13 Soraa, Inc. Gallium nitride based laser dazzling device and method
US8314429B1 (en) 2009-09-14 2012-11-20 Soraa, Inc. Multi color active regions for white light emitting diode
US8750342B1 (en) 2011-09-09 2014-06-10 Soraa Laser Diode, Inc. Laser diodes with scribe structures
US8355418B2 (en) 2009-09-17 2013-01-15 Soraa, Inc. Growth structures and method for forming laser diodes on {20-21} or off cut gallium and nitrogen containing substrates
US8933644B2 (en) 2009-09-18 2015-01-13 Soraa, Inc. LED lamps with improved quality of light
US9583678B2 (en) 2009-09-18 2017-02-28 Soraa, Inc. High-performance LED fabrication
US9293644B2 (en) 2009-09-18 2016-03-22 Soraa, Inc. Power light emitting diode and method with uniform current density operation
CN102630349B (zh) 2009-09-18 2017-06-13 天空公司 功率发光二极管及利用电流密度操作的方法
US8905588B2 (en) 2010-02-03 2014-12-09 Sorra, Inc. System and method for providing color light sources in proximity to predetermined wavelength conversion structures
US10147850B1 (en) 2010-02-03 2018-12-04 Soraa, Inc. System and method for providing color light sources in proximity to predetermined wavelength conversion structures
US9927611B2 (en) 2010-03-29 2018-03-27 Soraa Laser Diode, Inc. Wearable laser based display method and system
US20110247556A1 (en) * 2010-03-31 2011-10-13 Soraa, Inc. Tapered Horizontal Growth Chamber
US8451876B1 (en) 2010-05-17 2013-05-28 Soraa, Inc. Method and system for providing bidirectional light sources with broad spectrum
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8816319B1 (en) 2010-11-05 2014-08-26 Soraa Laser Diode, Inc. Method of strain engineering and related optical device using a gallium and nitrogen containing active region
US8975615B2 (en) 2010-11-09 2015-03-10 Soraa Laser Diode, Inc. Method of fabricating optical devices using laser treatment of contact regions of gallium and nitrogen containing material
US9048170B2 (en) 2010-11-09 2015-06-02 Soraa Laser Diode, Inc. Method of fabricating optical devices using laser treatment
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9595813B2 (en) 2011-01-24 2017-03-14 Soraa Laser Diode, Inc. Laser package having multiple emitters configured on a substrate member
US9025635B2 (en) 2011-01-24 2015-05-05 Soraa Laser Diode, Inc. Laser package having multiple emitters configured on a support member
US9318875B1 (en) 2011-01-24 2016-04-19 Soraa Laser Diode, Inc. Color converting element for laser diode
US9093820B1 (en) 2011-01-25 2015-07-28 Soraa Laser Diode, Inc. Method and structure for laser devices using optical blocking regions
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9236530B2 (en) 2011-04-01 2016-01-12 Soraa, Inc. Miscut bulk substrates
US9287684B2 (en) 2011-04-04 2016-03-15 Soraa Laser Diode, Inc. Laser package having multiple emitters with color wheel
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US9646827B1 (en) 2011-08-23 2017-05-09 Soraa, Inc. Method for smoothing surface of a substrate containing gallium and nitrogen
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8971370B1 (en) 2011-10-13 2015-03-03 Soraa Laser Diode, Inc. Laser devices using a semipolar plane
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9020003B1 (en) 2012-03-14 2015-04-28 Soraa Laser Diode, Inc. Group III-nitride laser diode grown on a semi-polar orientation of gallium and nitrogen containing substrates
US9800016B1 (en) 2012-04-05 2017-10-24 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US10559939B1 (en) 2012-04-05 2020-02-11 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US9343871B1 (en) 2012-04-05 2016-05-17 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US9088135B1 (en) 2012-06-29 2015-07-21 Soraa Laser Diode, Inc. Narrow sized laser diode
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9184563B1 (en) 2012-08-30 2015-11-10 Soraa Laser Diode, Inc. Laser diodes with an etched facet and surface treatment
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
KR102104018B1 (ko) * 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9166372B1 (en) 2013-06-28 2015-10-20 Soraa Laser Diode, Inc. Gallium nitride containing laser device configured on a patterned substrate
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9368939B2 (en) 2013-10-18 2016-06-14 Soraa Laser Diode, Inc. Manufacturable laser diode formed on C-plane gallium and nitrogen material
US9379525B2 (en) 2014-02-10 2016-06-28 Soraa Laser Diode, Inc. Manufacturable laser diode
US9520695B2 (en) 2013-10-18 2016-12-13 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser device having confinement region
US9362715B2 (en) 2014-02-10 2016-06-07 Soraa Laser Diode, Inc Method for manufacturing gallium and nitrogen bearing laser devices with improved usage of substrate material
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9209596B1 (en) 2014-02-07 2015-12-08 Soraa Laser Diode, Inc. Manufacturing a laser diode device from a plurality of gallium and nitrogen containing substrates
US9871350B2 (en) 2014-02-10 2018-01-16 Soraa Laser Diode, Inc. Manufacturable RGB laser diode source
US9520697B2 (en) 2014-02-10 2016-12-13 Soraa Laser Diode, Inc. Manufacturable multi-emitter laser diode
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9564736B1 (en) * 2014-06-26 2017-02-07 Soraa Laser Diode, Inc. Epitaxial growth of p-type cladding regions using nitrogen gas for a gallium and nitrogen containing laser diode
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10113232B2 (en) 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
CN105529237B (zh) * 2014-10-23 2018-05-01 中微半导体设备(上海)有限公司 气体导流环、气体供应装置及等离子体处理装置
US9246311B1 (en) 2014-11-06 2016-01-26 Soraa Laser Diode, Inc. Method of manufacture for an ultraviolet laser diode
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
US9653642B1 (en) 2014-12-23 2017-05-16 Soraa Laser Diode, Inc. Manufacturable RGB display based on thin film gallium and nitrogen containing light emitting diodes
US9666677B1 (en) 2014-12-23 2017-05-30 Soraa Laser Diode, Inc. Manufacturable thin film gallium and nitrogen containing devices
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10938182B2 (en) 2015-08-19 2021-03-02 Soraa Laser Diode, Inc. Specialized integrated light source using a laser diode
US11437775B2 (en) 2015-08-19 2022-09-06 Kyocera Sld Laser, Inc. Integrated light source using a laser diode
US11437774B2 (en) 2015-08-19 2022-09-06 Kyocera Sld Laser, Inc. High-luminous flux laser-based white light source
US10879673B2 (en) 2015-08-19 2020-12-29 Soraa Laser Diode, Inc. Integrated white light source using a laser diode and a phosphor in a surface mount device package
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9787963B2 (en) 2015-10-08 2017-10-10 Soraa Laser Diode, Inc. Laser lighting having selective resolution
JP7166759B2 (ja) * 2015-12-04 2022-11-08 アプライド マテリアルズ インコーポレイテッド Hdp-cvdチャンバのアーク発生を防止するための高度なコーティング方法および材料
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10771155B2 (en) 2017-09-28 2020-09-08 Soraa Laser Diode, Inc. Intelligent visible light with a gallium and nitrogen containing laser source
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10222474B1 (en) 2017-12-13 2019-03-05 Soraa Laser Diode, Inc. Lidar systems including a gallium and nitrogen containing laser light source
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10551728B1 (en) 2018-04-10 2020-02-04 Soraa Laser Diode, Inc. Structured phosphors for dynamic lighting
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7497354B2 (ja) 2018-12-07 2024-06-10 アプライド マテリアルズ インコーポレイテッド 部品、部品を製造する方法、及び部品を洗浄する方法
US11239637B2 (en) 2018-12-21 2022-02-01 Kyocera Sld Laser, Inc. Fiber delivered laser induced white light system
US11421843B2 (en) 2018-12-21 2022-08-23 Kyocera Sld Laser, Inc. Fiber-delivered laser-induced dynamic light system
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11884202B2 (en) 2019-01-18 2024-01-30 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system
US12000552B2 (en) 2019-01-18 2024-06-04 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system for a vehicle
US11228158B2 (en) 2019-05-14 2022-01-18 Kyocera Sld Laser, Inc. Manufacturable laser diodes on a large area gallium and nitrogen containing substrate
US10903623B2 (en) 2019-05-14 2021-01-26 Soraa Laser Diode, Inc. Method and structure for manufacturable large area gallium and nitrogen containing substrate
JP6922959B2 (ja) * 2019-09-20 2021-08-18 株式会社明電舎 酸化膜形成装置
TW202230438A (zh) * 2020-10-05 2022-08-01 日商東京威力科創股份有限公司 氣體供給環及基板處理裝置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5792272A (en) * 1995-07-10 1998-08-11 Watkins-Johnson Company Plasma enhanced chemical processing reactor and method
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW294820B (en) * 1995-07-10 1997-01-01 Watkins Johnson Co Gas distribution apparatus
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
KR100360401B1 (ko) * 2000-03-17 2002-11-13 삼성전자 주식회사 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치
JP2002001100A (ja) 2000-06-22 2002-01-08 Mitsubishi Heavy Ind Ltd プラズマ処理装置
KR20030001695A (ko) * 2001-06-26 2003-01-08 삼성전자 주식회사 고밀도 플라즈마 화학기상증착 챔버의 세정장치 및 그 방법
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
KR20060059305A (ko) * 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5792272A (en) * 1995-07-10 1998-08-11 Watkins-Johnson Company Plasma enhanced chemical processing reactor and method
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060106635A1 (en) * 2004-11-18 2006-05-18 Karl Ulrich Emission remediation
US20100012275A1 (en) * 2005-05-30 2010-01-21 Tokyo Electron Limited Plasma processing apparatus
US8377254B2 (en) * 2005-05-30 2013-02-19 Tokyo Electron Limited Plasma processing apparatus
US20090065146A1 (en) * 2006-03-06 2009-03-12 Tokyo Electron Limited Plasma processing apparatus
US20180064534A1 (en) * 2006-05-25 2018-03-08 Deep Vein Medical, Inc. Device for regulating blood flow
US20100239756A1 (en) * 2007-09-28 2010-09-23 Tokyo Electron Limited Plasma processing apparatus and gas exhaust method
WO2013059102A1 (en) * 2011-10-20 2013-04-25 Applied Materials, Inc. Multiple complementary gas distribution assemblies
US9303318B2 (en) 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
US9875895B2 (en) * 2011-11-17 2018-01-23 Eugene Technology Co., Ltd. Substrate processing apparatus including exhaust ports and substrate processing method
US9464982B2 (en) * 2012-05-04 2016-10-11 Ecolab Usa Inc. Self-cleaning optical sensor
US20150177124A1 (en) * 2012-05-04 2015-06-25 Ecolab Usa Inc Self-cleaning optical sensor
US20140038421A1 (en) * 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition Chamber and Injector
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
JP2015122355A (ja) * 2013-12-20 2015-07-02 東京エレクトロン株式会社 基板処理装置

Also Published As

Publication number Publication date
TW200402775A (en) 2004-02-16
JP2004072002A (ja) 2004-03-04
EP1398820B1 (en) 2007-10-31
TWI264062B (en) 2006-10-11
KR100790649B1 (ko) 2007-12-31
DE60317147T2 (de) 2008-08-07
EP1398820A2 (en) 2004-03-17
US20070090032A1 (en) 2007-04-26
JP3861036B2 (ja) 2006-12-20
DE60317147D1 (de) 2007-12-13
US7806078B2 (en) 2010-10-05
EP1398820A3 (en) 2006-01-11
KR20040014317A (ko) 2004-02-14

Similar Documents

Publication Publication Date Title
US7806078B2 (en) Plasma treatment apparatus
KR102358027B1 (ko) 컨덕턴스 제어를 갖는 화학적 증착 장치
US9909215B2 (en) Method of CVD plasma processing with a toroidal plasma processing apparatus
JP3701390B2 (ja) プラズマ強化化学処理反応装置
KR100782369B1 (ko) 반도체 제조장치
US7622005B2 (en) Uniformity control for low flow process and chamber to chamber matching
US20150004798A1 (en) Chemical deposition chamber having gas seal
US10781516B2 (en) Chemical deposition chamber having gas seal
US11078568B2 (en) Pumping apparatus and method for substrate processing chambers
JP2006041539A (ja) デュアル反応チャンバプラズマ処理装置
KR20220162772A (ko) 반도체 반응 챔버 및 원자층 플라즈마 에칭 장비
KR20060059305A (ko) 반도체 공정 장비
JP2012144786A (ja) 中性粒子照射型cvd装置
JP2000030894A (ja) プラズマ処理方法および装置
WO2018164807A1 (en) Diffuser design for flowable cvd
US11049699B2 (en) Gas box for CVD chamber
JP4517935B2 (ja) シャワープレートおよび表面波励起プラズマ処理装置
CN115513101B (zh) 一种等离子蚀刻清洗工艺
US20220336188A1 (en) Plasma treatment system and plasma treatment method
JPH09241850A (ja) Cvd装置
WO2024054344A1 (en) Gas cooling cover for an exhaust line of a substrate processing system
CN115110048A (zh) 基于磁控溅射的pecvd镀膜装置及方法
JPH06216074A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
AS Assignment

Owner name: MITSUBISHI HEAVY INDUSTRIES, LTD., JAPAN

Free format text: CORRECTED COVER SHEET TO CORRECT ASSIGNOR'S NAME, PREVIOUSLY RECORDED AT REEL/FRAME 014570/0369 (ASSIGNMENT OF ASSIGNOR'S INTEREST);ASSIGNOR:YOSHIDA, KAZUTO;REEL/FRAME:016455/0168

Effective date: 20040811

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION