DE102020105664A1 - Gatebildungsprozess - Google Patents

Gatebildungsprozess Download PDF

Info

Publication number
DE102020105664A1
DE102020105664A1 DE102020105664.8A DE102020105664A DE102020105664A1 DE 102020105664 A1 DE102020105664 A1 DE 102020105664A1 DE 102020105664 A DE102020105664 A DE 102020105664A DE 102020105664 A1 DE102020105664 A1 DE 102020105664A1
Authority
DE
Germany
Prior art keywords
hard mask
etch
etch process
over
patterned hard
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102020105664.8A
Other languages
English (en)
Other versions
DE102020105664B4 (de
Inventor
Chi-Sheng Lai
Wei-Chung Sun
Li-Ting Chen
Kuei-Yu Kao
Chih-Han Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020105664A1 publication Critical patent/DE102020105664A1/de
Application granted granted Critical
Publication of DE102020105664B4 publication Critical patent/DE102020105664B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28132Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects conducting part of electrode is difined by a sidewall spacer or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

Bereitgestellt sind Prozesse zum Bilden von Gate-Strukturen mit unterschiedlichen Abstandsmaßen. Ein Beispielverfahren umfasst das Bereitstellen eines Werkstücks aufweisend ein Substrat und Halbleiterfinnen, welche durch ein Isolationsmerkmal in einem Abstand voneinander angeordnet sind, das Abscheiden einer Gate-Materialschicht über dem Werkstück, das Bilden einer strukturierten Hartmaske über der Gate-Materialschicht, wobei strukturierte Hartmaske längliche Merkmale aufweist, welche unterschiedliche Abstandsmaße aufweisen, das Ausführen eines ersten Ätzprozesses unter Verwendung der strukturierten Hartmaske als eine Ätzmaske durch die Gate-Materialschicht zum Bilden eines Grabens, das Ausführen eines zweiten Ätzprozesses unter Verwendung der strukturierten Hartmaske als eine Ätzmaske, um den Graben bis zu einer oberen Fläche des Isolationsmerkmals zu erweitern, und das Ausführen eines dritten Ätzprozesses unter Verwendung der strukturierten Hartmaske, um den Graben in das Isolationsmerkmal zu erweitern. Der erste Ätzprozess umfasst die Verwendung von Tetrafluorkohlenstoff und verwendet kein Sauerstoffgas.

Description

  • ALLGEMEINER STAND DER TECHNIK
  • Die Industrie der integrierten Halbleiterschaltungen (IC) hat ein exponentielles Wachstum erlebt. Technologische Fortschritte bei IC-Materialien und -Design haben Generationen von ICs produziert, in denen jede Generation kleinere und komplexere Schaltungen aufweist als die vorherige. Im Zuge der IC-Weiterentwicklung hat die Funktionsdichte (d.h. die Anzahl miteinander verbundener Bauelemente pro Chipfläche) im Allgemeinen zugenommen, während die geometrische Größe (d.h. die kleinste Komponente (oder Linie), die unter Verwendung eines Herstellungsverfahrens erzeugt werden kann) abgenommen hat. Dieser Verkleinerungsprozess bietet in der Regel Vorteile durch Steigerung der Produktionseffizienz und Senkung der damit verbundenen Kosten.
  • Ferner hat die Verkleinerung auch die Komplexität der Bearbeitung und Herstellung von ICs erhöht, und es sind ähnliche Entwicklungen in der IC-Bearbeitung und -Herstellung erforderlich, um diese Fortschritte umzusetzen. So sind zum Beispiel Doppelstrukturierung oder Mehrfachstrukturierung verwendet worden, um Strukturierungsmuster mit einheitlichen Abmessungen zu bilden. Zunächst werden Mandrels (Formkerne) über einer Hartmaskenschicht gebildet. Dann wird eine Abstandhalterschicht über den Formkernen sowie über den Seitenwänden der Formkerne aufgebracht/abgeschieden. Danach werden die Formkerne, über welchen die Abstandhalterschicht aufgebracht worden ist, zum Freilegen der Formkerne entfernt, wobei die Formkerne gezielt entfernt werden, wodurch die strukturierten Abstandhalterschichten, welche zuvor die Seitenwände der Formkerne eingefasst hatten, zurückbleiben. Die strukturierten Abstandhalterschichten dienen als eine Ätzmaske, um die darunterliegende Hartmaske zu strukturieren. Sind jedoch Strukturen mit unterschiedlichen Abstandsmaßen in einer Halbleitervorrichtung erwünscht, kann der Ätzladungseffekt ein gleichmäßiges Ätzen über die gesamte Tiefe eines Gate-Materials für die Gate-Strukturen verhindern. Um diese Herausforderung zu bewältigen, sind mehrere herkömmliche Prozesse vorgeschlagen worden, von denen allerdings keiner in allen Belangen zufriedenstellend ist. Ein verbesserter Prozess ist daher wünschenswert.
  • Figurenliste
  • Die vorliegende Offenbarung lässt sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es muss betont werden, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind und nur der Veranschaulichung dienen. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 ist ein Ablaufdiagramm eines Verfahrens zum Herstellen einer Halbleitervorrichtung im Einklang mit verschiedenen Aspekten der vorliegenden Offenbarung.
    • 2 ist eine perspektivische, schematische Ansicht eines Werkstücks für eine Halbleitervorrichtung im Einklang mit verschiedenen Aspekten der vorliegenden Offenbarung.
    • 3A ist eine schematische Teildraufsicht eines Werkstücks in einer der Fertigungsphasen, wie zum Beispiel jenen in Zusammenhang mit dem Verfahren in 1, im Einklang mit verschiedenen Aspekten der vorliegenden Offenbarung.
    • 3B und 4 - 11 sind schematische Teilquerschnittsansichten eines Werkstücks in verschiedenen Fertigungsphasen, wie zum Beispiel jenen in Zusammenhang mit dem Verfahren in 1, im Einklang mit verschiedenen Aspekten der vorliegenden Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Es versteht sich, dass die folgende Offenbarung viele verschiedene Ausführungsformen oder Beispiele zum Umsetzen verschiedener Merkmale der vorliegenden Offenbarung bereitstellt. Spezifische Beispiele von Komponenten und Anordnungen sind nachfolgend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dies sind selbstverständlich nur Beispiele und keinesfalls als Einschränkung auszulegen. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in welchen das erste Merkmal und das zweite Merkmal in direktem Kontakt miteinander gebildet sind, und kann ebenfalls Ausführungsformen umfassen, in welchen zusätzliche Merkmale zwischen dem ersten Merkmal und dem zweiten Merkmal gebildet sein können, sodass das erste Merkmal und das zweite Merkmal nicht in direktem Kontakt miteinander sein können. Darüber hinaus kann die vorliegende Offenbarung Bezugsziffern und/oder -Zeichen in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit, und schreibt für sich selbst keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Anordnungen vor. Zur Vereinfachung und Klarheit können ferner verschiedene Merkmale beliebig in unterschiedlichen Maßstäben gezeichnet sein.
  • Ferner können Begriffe räumlicher Beziehungen, wie zum Beispiel „unter“, „darunter“, „niedriger“, „über“, „obere/r/s“ und dergleichen hierin zum Zweck einer einfacheren Beschreibung der Beziehung eines in den Figuren dargestellten Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) verwendet werden. Die Begriffe räumlicher Beziehungen sollen dazu dienen, verschiedene Ausrichtungen der Vorrichtung bei der Verwendung oder im Betrieb zusätzlich zur in den Figuren abgebildeten Ausrichtung einzuschließen. Falls die Vorrichtung in den Figuren zum Beispiel umgedreht wird, würden als „unter“ anderen oder „unterhalb“ anderer Elemente/n oder Merkmale/n beschriebene Elemente dann „über“ den anderen Elementen oder Merkmalen ausgerichtet sein. Somit kann zum Beispiel der Begriff „unter“ sowohl eine Ausrichtung oberhalb als auch unterhalb einschließen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen) und die hierin verwendeten Begriffe räumlicher Beziehungen können somit auch dementsprechend ausgelegt werden.
  • Ist ferner eine Zahl oder ein Zahlenbereich hierin mit „ungefähr“, „etwa“ und dergleichen beschrieben, so soll der Begriff Zahlen innerhalb eines angemessenen Bereichs, welcher die beschriebene Zahl enthält, umfassen, zum Beispiel innerhalb von +/- 10 % der beschriebenen Zahl oder anderer für Fachleute verständlicher Werte. Zum Beispiel schließt der Ausdruck „ungefähr 5 nm“ den Abmessungsbereich von 4,5 nm bis 5,5 nm ein.
  • In der Halbleiterleiterbahnfertigung werden Fortschritte gemacht, um schrumpfende Abmessungen der Halbleitervorrichtungsmerkmale unterzubringen. Wenn die Schrumpfung von Abmessungen über die Auflösung von Fotolithografie hinausgeht, sind Mehrfachstrukturierungstechniken verwendet worden. Um eine strukturierte Ätzmaske zu bilden, kann zum Beispiel ein Doppelstrukturierungslithografieprozess (DPL-Prozess) (z.B. ein Lithografie-Ätzung-Lithografie-Ätzung-Prozess (LELE-Prozess), ein selbstausrichtender Doppelstrukturierungsprozess (SADP-Prozess), ein Abstandhalter-ist-Dielektrisch-Strukturierungsprozess (SIDP-Prozess), ein anderer Doppelstrukturierungsprozess oder Kombinationen davon) verwendet werden. Ferner kann eine Iteration der Prozessschritte vorgenommen werden, um Merkmale noch kleinerer Größen zu bilden. Zum Beispiel kann ein Dreifachstrukturierungsprozess (zum Beispiel ein Lithografie-Ätzung-Lithografie-Ätzung-Lithografie-Ätzung-Prozess (LELELE-Prozess), ein selbstausrichtender Dreifachstrukturierungsprozess (SATP-Prozess), ein anderer Dreifachstrukturierungsprozess oder Kombinationen davon), ein anderer Mehrfachstrukturierungsprozess (zum Beispiel ein selbstausrichtender Vierfachstrukturierungsprozess (SAQP-Prozess)), oder Kombinationen davon umgesetzt werden. Im Allgemeinen kombinieren Mehrfachstrukturierungsprozesse Lithografieprozesse und selbstausrichtende Prozesse, wodurch sie die Schaffung von Strukturen ermöglichen, welche zum Beispiel Abstandsmaße aufweisen, die kleiner sind als jene, welche unter Verwendung eines einzigen, direkten Fotolithografieprozesses erzielbar wären. Zum Beispiel wird in einigen Ausführungen eine strukturierte Opferschicht unter Verwendung eines Lithografieprozesses über einem Substrat gebildet, und Abstandhalter werden zum Beispiel unter Verwendung eines selbstausrichtenden Prozesses entlang der strukturierten Opferschicht gebildet. Dann wird die strukturierte Opferschicht entfernt und die Abstandhalter können als eine Ätzmaske verwendet werden, um eine darunterliegende Schicht, wie zum Beispiel eine Hartmaskenschicht, zu strukturieren.
  • Eine Einschränkung der obengenannten Mehrfachstrukturierungstechniken besteht darin, dass die daraus resultierende Ätzmaske Merkmale einer im Wesentlichen einheitlichen Breite aufweist. Dies liegt daran, dass diese Merkmale ein Ergebnis eines selbstausrichtenden Prozesses sind, welcher sich in einem Maßstab ereignet, welcher über die Auflösung des Fotolithografieprozesses hinausgeht. Während der/die Fotolithografieprozess(e) bei den Mehrfachstrukturierungstechniken Abstandsmaße und Abstände zwischen Merkmalen vorgeben können, ist/sind sie nicht in der Lage, die Abmessungen der selbstausrichtenden Merkmale zu verändern. Da der Fotolithografieprozess die Opferschicht strukturiert, können beim oben dargelegten Beispiel Abmessungen der Opferschichtmerkmale in der strukturierten Opferschicht durch den Fotolithografieprozess gesteuert werden. Der Fotolithografieprozess hat jedoch keinen Einfluss darauf, wie der Abstandhalter über der Opferschicht abgeschieden/aufgebracht wird. Stattdessen kann die Dicke und Gleichmäßigkeit der Abstandhalterschicht weitgehend durch Materialeigenschaften der Abstandhalterschicht und Prozessparameter, welche über die gesamte Abstandhalterschicht einheitlich sind, gesteuert werden. Dadurch sind die Abmessungen von Merkmalen in der Abstandhalterschicht weitgehend einheitlich.
  • Diese Einschränkung schafft Herausforderungen, wenn in einer Halbleitervorrichtung Gate-Strukturen mit wechselnden Abstandsmaßen erwünscht sind. Man hat beobachtet, dass dicht gepackte Merkmale und lose gepackte Merkmale aufgrund der unterschiedlichen Ätzbelastung unterschiedliche Ätzraten aufweisen. In einem Modus der ungleichmäßigen Ätzbelastung können Nebenprodukte eines Ätzprozesses auf seitlichen Flächen in einem isolierten Bereich (d.h. einem lose gepackten Bereich) und auf seitlichen Flächen in einem dichten Bereich (d.h. einem dicht gepackten Bereich) mit unterschiedlichen Raten/Geschwindigkeiten erneut abgeschieden werden, was zu einer ungleichmäßigen seitlichen Ätzung in verschiedenen Bereichen führt. In manchen Fällen ist die Neuabscheidungsrate der Nebenprodukte in einem isolierten Bereich größer als jene im dichten Bereich, und der isolierte Bereich wird mit einer langsameren Rate geätzt als der dichte Bereich. Um die ungleichmäßige seitliche Ätzung zu kompensieren, können zusätzliche Fotolithografieprozesse und Ätzprozesse verwendet werden, um die strukturierte Hartmaske zu anzupassen. In einem Beispiel, in welchem der isolierte Bereich mit einer langsameren Rate geätzt wird, werden die strukturierten Hartmaskenmerkmale im isolierten Bereich derart angepasst, dass sie eine kleinere Abmessung aufweisen. Derartige zusätzliche Fotolithografieprozesse und Anpassungsprozesse können jedoch erhöhte Kosten verursachen und den Fertigungsdurchsatz verringern. Hochauflösungsfotolithografietechniken mit kleineren Wellenlängen können auch dazu verwendet werden, Ätzmaskenmerkmale mit variablen Abmessungen präzise zu bilden, um die ungleichmäßige seitliche Ätzung zu kompensieren. Die Verwendung solcher Hochauflösungsfotolithografietechniken bringt jedoch ebenfalls erhöhte Kosten mit sich.
  • Die vorliegende Offenbarung stellt einen Prozess zum gleichmäßigen Ätzen durch eine Gate-Materialschicht unter Verwendung von Ätzmaskenmerkmalen mit unterschiedlichen Abstandsmaßen aber ähnlichen Größen bereit, um Gate-Strukturen mit im Wesentlichen geraden Seitenwänden zu bilden. Ein Beispielprozess umfasst einen ersten Ätzprozess zum Ätzen durch die Gate-Materialschicht über einer oberen Fläche eines aktiven Bereichs (z.B. einer Finne oder einer Halbleiterfinne), einen zweiten Ätzprozess zum Ätzen der Gate-Materialschicht durch eine obere Fläche eines Isolationsmerkmals, und einen dritten Ätzprozess zum Ätzen in das Isolationsmerkmal. Der erste Ätzprozess umfasst die Verwendung von Tetrafluorkohlenstoff, und ist frei von Sauerstoffgas (O2). Darüber hinaus wird der erste Ätzprozess bei einem erhöhten Druck ausgeführt, um seitliches Ätzen zu verringern. Der zweite Ätzprozess umfasst einen Nitrierungsprozess zum Nitrieren einer dielektrischen Dummy-Gate-Schicht, um die aktiven Bereiche zu schützen. Der dritte Ätzprozess ist frei von Sauerstoffgas (O2) und Bromwasserstoff (HBr). Stattdessen umfasst der dritte Ätzprozess Chlor und dauert nur kurze Zeit. Indem die Verwendung kostenintensiver alternativer Prozesse vermieden wird, stellen Prozesse im Einklang mit der vorliegenden Offenbarung eine ökonomische Option zum Bilden unterschiedliche Abstandsmaße aufweisender Gate-Strukturen mit im Wesentlichen senkrechten Seitenwänden dar. Anders gesagt stellen Prozesse der vorliegenden Offenbarung Schaltungsdesignern eine größere Gestaltungsfreiheit bereit, ohne die Problematik stark erhöhter Fertigungskosten mit sich zu bringen.
  • Die verschiedenen Aspekte der vorliegenden Offenbarung werden nun in Bezug auf die Figuren detaillierter beschrieben. 1 ist ein Ablaufdiagramm eines Verfahrens 100 zum Herstellen einer Halbleitervorrichtung im Einklang mit verschiedenen Aspekten der vorliegenden Offenbarung. In einigen Ausführungen weist die Halbleitervorrichtung Mehrfach-Gate-Transistoren auf, bei welchen eine Gate-Struktur mehrere Seiten eines aktiven Bereichs in dessen Kanalbereich umhüllt. Beispiele von Mehrfach-Gate-Transistoren umfassen finnenartige Feldeffekttransistoren (FinFETs) oder Rundum-Gate-Transistoren (GAA-Transistoren). Verfahren 100 ist nur ein Beispiel und soll die vorliegende Offenbarung keinesfalls auf das beschränken, was in Verfahren 100 explizit dargestellt ist. Zusätzliche Schritte können vor, während und nach dem Verfahren 100 bereitgestellt sein, und einige der beschriebenen Schritte können für weitere Ausführungsformen des Verfahrens 100 verschoben, ersetzt oder weggelassen werden. Zur Vereinfachung sind hierin nicht alle Schritte detailliert beschrieben. Das Verfahren 100 wird im Folgenden in Verbindung mit der perspektivischen Ansicht, der Draufsicht und TeilQuerschnittsansichten eines Werkstück 200 beschrieben, welche in 2, 3A, 3 und 4 - 11 gezeigt sind. Da aus dem Werkstück 200 eine Halbleitervorrichtung gebildet wird, kann das Werkstück 200 je nach Kontext als Halbleitervorrichtung 200 bezeichnet werden.
  • Die Halbleitervorrichtung 200 kann in einer Mikroprozessor-, einer Speicher- und/oder anderen integrierte Schaltungsvorrichtungen (IC-Vorrichtungen) enthalten sein. In einigen Ausführungen kann die Halbleitervorrichtung 200 ein Abschnitt eines IC-Chips, ein System-auf-einem-Chip (SoC) oder ein Abschnitt davon sein, welcher verschiedene passive und aktive mikroelektronische Vorrichtungen, wie zum Beispiel Widerstände, Kondensatoren, Induktoren, Dioden, Metalloxidhalbleiter-Feldeffekttransistoren (MOSFETs), komplementäre Metalloxidhalbleitertransistoren (CMOS-Transistoren), Bipolartransistoren (BJTs), seitlich verbreitete MOS-Transistoren (LDMOS-Transistoren), Hochspannungstransistoren, Hochfrequenztransistoren andere geeignete Komponenten oder Kombinationen davon, aufweist. Abbildungen der Halbleitervorrichtung 200 in den 2, 3A, 3B und 4 - 11 sind für eine bessere Klarheit vereinfacht worden, um die erfinderischen Konzepte der vorliegenden Offenbarung besser verstehen zu können. Zusätzliche Merkmale können der Halbleitervorrichtung 200 hinzufügt werden, und manche der unten beschriebenen Merkmale können in anderen Ausführungsformen der Halbleitervorrichtung 200 ersetzt, modifiziert oder weggelassen werden.
  • Bezugnehmend auf 1, 2, 3A und 3B weist das Verfahren 100 einen Block 102 auf, in welchem ein Werkstück 200 aufweisend eine Mehrzahl von Finnen 204 aufgenommen wird. Wie in 2, 3A and 3B gezeigt, weist das Werkstück 200 ein Substrat 202 auf. In der dargestellten Ausführungsform ist das Substrat 202 ein Grundsubstrat, welches Silizium enthält. Alternativ dazu weist das Substrat 202 in einigen Ausführungen ein Grundsubstrat (welches zum Beispiel Silizium enthält) und eine oder mehrere über dem Grundsubstrat angeordnete Materialschichten auf. Die eine oder die mehreren Materialschichten können zum Beispiel einen Halbleiterschichtenstapel aufweisend verschiedene Halbleiterschichten (wie zum Beispiel eine Heterostruktur), die über dem Grundsubstrat angeordnet sind, aufweisen, wobei der Halbleiterschichtenstapel in der Folge zum Bilden von Finnen strukturiert wird. Die Halbleiterschichten können irgendwelche geeigneten Halbleitermaterialien enthalten, wie zum Beispiel Silizium, Germanium, Silizium-Germanium, andere geeignete Halbleitermaterialien oder Kombinationen davon. Abhängig von Designanforderungen an die Halbleitervorrichtung 200 können die Halbleiterschichten dieselben oder unterschiedliche Materialien, Ätzraten, Atomprozentsätze der Bestandteile, Gewichtsprozentsätze der Bestandteile, Dicken und/oder Konfigurationen aufweisen. In einigen Ausführungen weist der Halbleiterschichtenstapel abwechselnde Halbleiterschichten, wie zum Beispiel Halbleiterschichten bestehend aus einem ersten Material und Halbleiterschichten bestehend aus einem zweiten Material, auf. Zum Beispiel wechselt der Halbleiterschichtenstapel Siliziumschichten und Silizium-Germaniumschichten ab (von unten nach oben zum Beispiel Si/SiGe/Si). In einigen Ausführungen weist der Halbleiterschichtenstapel Halbleiterschichten aus demselben Material, jedoch mit abwechselnden Atomprozentsätzen der Bestandteile ab, wie zum Beispiel Halbleiterschichten, welche einen ersten Atomprozentsatz eines Bestandteils enthalten und Halbleiterschichten, welchen einen zweiten Atomprozentsatz des Bestandteils enthalten. Zum Beispiel weist der Halbleiterschichtenstapel Silizium-Germaniumschichten aufweisend abwechselnde Silizium- und/oder Germaniumatomprozentsätze auf (von unten nach oben zum Beispiel SiaGeb/SicGed/SiaGeb, wobei a, c unterschiedliche Atomprozentsätze von Silizium und b, d unterschiedliche Atomprozentsätze von Germanium sind). Alternativ dazu oder zusätzlich weisen das Grundsubstrat 202 und/oder die eine oder die mehreren Materialschichten einen weiteren elementaren Halbleiter, wie zum Beispiel Germanium; einen Verbundhalbleiter, wie zum Beispiel Siliziumkarbid, Siliziumphosphid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid, Indiumantimonid, Zinkoxid, Zinkselenid, Zinksulfid, Zinktellurid, Cadmiumselenid, Cadmiumsulfid und/oder Cadmiumtellurid; einen Legierungshalbleiter, wie zum Beispiel SiGe, SiPC, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GalnAsP; andere Materialien der Gruppe III-V; andere Materialien der Gruppe 11-V; oder Kombinationen davon, auf. Alternativ dazu ist das Substrat 202 ein Halbleiter-auf-Isolator-Substrat, wie zum Beispiel ein Silizium-auf-Isolator-Substrat (SOI-Substrat), ein Silizium-Germanium-auf-Isolator-Substrat (SGOI-Substrat) oder ein Germanium-auf-Isolator-Substrat (GOI-Substrat). Halbleiter-auf-Isolator-Substrate können unter Verwendung einer Trennung durch Sauerstoffimplantation (SIMOX), Waferbonden und/oder andere geeignete Verfahren hergestellt werden.
  • In einigen Ausführungsformen kann die Mehrzahl von Finnen 204 aus dem Substrat 202 oder über dem Substrat 202 aufgebrachten Halbleiterschichten unter Verwendung eines Mehrfachstrukturierungsprozesses, wie zum Beispiel eines Doppelstrukturierungslithografieprozesses (DPL-Prozesses) (zum Beispiel eines Lithografie-Ätzung-Lithografie-Ätzungs-Prozesses (LELE-Prozesses), eines selbstausrichtenden Doppelstrukturierungsprozesses (SADP-Prozesses), eines Abstandhalter-ist-dielektrisch-Strukturierungsprozesses (SIDP-Prozesses), eines anderen Doppelstrukturierungsprozesses oder Kombinationen davon), eines Dreifachstrukturierungsprozesses (zum Beispiel eines Lithografie-Ätzung-Lithografie-Ätzung-Lithografie-Ätzung-Prozesses (LELELE-Prozesses), eines selbstausrichtenden Dreifachstrukturierungsprozesses (SATP-Prozesses), eines anderen Dreifachstrukturierungsprozesses oder Kombinationen davon), eines anderen Mehrfachstrukturierungsprozesses (zum Beispiel eines selbstausrichtenden Vierfachstrukturierungsprozesses (SAQP-Prozesses)) oder Kombinationen davon, gebildet werden. Im Allgemeinen kombinieren Mehrfachstrukturierungsprozesse Lithografieprozesse und selbstausrichtende Prozesse, wodurch sie die Schaffung von Strukturen ermöglichen, welche zum Beispiel Abstandsmaße aufweisen, die kleiner sind als jene, welche unter Verwendung eines einzigen, direkten Fotolithografieprozesses erzielbar wären. Zum Beispiel wird in einigen Ausführungen eine strukturierte Opferschicht unter Verwendung eines Lithografieprozesses über einem Substrat gebildet, und Abstandhalter werden zum Beispiel unter Verwendung eines selbstausrichtenden Prozesses entlang der strukturierten Opferschicht gebildet. Dann wird die strukturierte Opferschicht entfernt, und die Abstandhalter können dazu verwendet werden, eine darunterliegende Schicht zu strukturieren. In einigen Ausführungen werden während der Mehrfachstrukturierungsprozesse gerichtete Selbstorganisationsverfahren (DSA-Verfahren) umgesetzt. Da jede der Mehrzahl von Finnen 204 aus einem Halbleitermaterial gebildet ist, welches das Substrat 202 oder über dem Substrat 202 aufgebrachte Halbleiterschichten bildet, kann sie auch als eine Halbleiterfinne oder Halbleiterfinnenstruktur bezeichnet werden. Detaillierte Beschreibungen der Bildungsprozesse der Mehrzahl von Finnen 204 sind hier der Kürze halber weggelassen worden.
  • Bezuggenommen wird nun auf 2, welche eine perspektivische, schematische Ansicht des Werkstücks 200 ist, welches die Mehrzahl von Finnen 204 aufweist. Die Finnen 204 erstrecken sich entlang der Z-Richtung vom Substrat 202 nach oben und erstrecken sich parallel zueinander entlang der X-Richtung. In 2 der vorliegenden Offenbarung sind zum Beispiel fünf Finnen gezeigt. Die vorliegende Offenbarung ist nicht darauf beschränkt und erwägt auch Werkstücke, welche mehr oder weniger Finnen 204 aufweisen. Zwischen benachbarten Finnen 204 wird ein Isolationsmerkmal 206 (d.h. ein Grabenisolationsmerkmal (STI-Merkmal)) gebildet, um elektrische Isolierung und mechanische Unterstützung bereitzustellen. Das Isolationsmerkmal 206 enthält somit ein Isoliermaterial, wie zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, ein anderes geeignetes Isoliermaterial (unter anderem zum Beispiel Silizium, Sauerstoff, Stickstoff, Kohlenstoff und/oder einen anderen geeigneten Isolierbestandteil), oder Kombinationen davon. Wie in 2 gezeigt, ist das Isolationsmerkmal 206 zurückgezogen angeordnet, um Zwischenfinnenvertiefungen 205 zu bilden, sodass sich ein Abschnitt der Mehrzahl von Finnen über eine obere Fläche des Isolationsmerkmals 206 erhebt. Wie ebenso in einer in 3A gezeigten Draufsicht dargestellt, erstrecken sich die Mehrzahl von Finnen 204 entlang der X-Richtung und sind von benachbarten Finnen jeweils durch das Isolationsmerkmal 206 getrennt. Eine Ansicht in Y-Richtung entlang des Querschnitts I-I' entlang einer der Mehrzahl von Finnen 204 ist in 3B bereitgestellt. Da der Querschnitt durch die eine der Mehrzahl von Finnen 204 verläuft, ist das Isolationsmerkmal 206 in 3B nicht sichtbar. Um verschiedene Merkmale der vorliegenden Offenbarung darzustellen, sind die nachfolgenden Figuren, nämlich die 4 - 11, Teilquerschnittsansichten quer über Schnitt I-I' durch eine Finne 204 des Werkstücks 200, genau gleich wie 3B.
  • Bezugnehmend auf 1 und 4, umfasst das Verfahren 100 einen Block 104, in welchem eine Gate-Materialschicht 208 über der Mehrzahl von Finnen 204 aufgebracht wird. Wie in 4 gezeigt, wird die Gate-Materialschicht 208 über dem Werkstück 200 sowie auch über der oberen Fläche des Isolationsmerkmals 206, der oberen Fläche jeder der Mehrzahl von Finnen 204 und in Zwischenfinnenvertiefungen 205 (gezeigt in 2, jedoch nicht gezeigt in 4) abgeschieden. In einigen Ausführungsformen, in welchen ein Gate-Last-Prozess oder ein Gate-Ersatz-Prozess benutzt wird, dient die Gate-Materialschicht 208 der Bildung von Dummy-Gate-Stapeln und kann Polysilizium enthalten. Dummy-Gate-Strukturen dienen als Platzhalter für funktionale Gate-Strukturen während eines Abschnitts des Fertigungsprozesses, und werden zu einem späteren Zeitpunkt durch den funktionalen Gate-Stapel ersetzt. Eine dielektrische Dummy-Gate-Schicht 207 kann vor der Abscheidung der Gate-Materialschicht 208 deckend über das Werkstück 200 aufgebracht werden. Wie in 4 gezeigt, ist die dielektrische Dummy-Gate-Schicht 207 entlang des Querschnitts I-I' zwischen der oberen Fläche der Finne 204 und der Gate-Materialschicht 208 angeordnet. Die dielektrische Dummy-Gate-Schicht 207 ist auch über Seitenwänden der Mehrzahl von Finnen 204 angeordnet. In einigen Ausführungen kann die dielektrische Dummy-Gate-Schicht 207 Siliziumoxid enthalten, welches unter Verwendung thermischer Oxidation oder eines anderen geeigneten Prozesses aufgebracht/abgeschieden wird.
  • Weiterhin bezugnehmend auf 1 und 4 umfasst das Verfahren 100 einen Block 106, in welchem eine Hartmaskenschicht 210 über der Gate-Materialschicht 208 abgeschieden wird. In einigen Ausführungsformen soll die Hartmaskenschicht 210 strukturiert werden, um eine strukturierte Hartmaskenschicht 210 zu bilden, welche als eine Ätzmaske dienen soll, um die Gate-Materialschicht 208 zu strukturieren. In einigen Ausführungen nicht gezeigt, kann die Hartmaskenschicht 210 eine einzelne Schicht sein, welche aus Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumkarbid, Siliziumoxykarbid, Siliziumoxykarbonitrid oder einem geeigneten dielektrischen Material gebildet ist. In einigen alternativen Ausführungsformen, welche in 4 gezeigt sind, kann die Hartmaskenschicht 210 eine Mehrfachschicht sein. Wie in 4 gezeigt, weist die Hartmaskenschicht 210 eine erste Hartmaskenschicht 212 und eine zweite Hartmaskenschicht 214 auf. In einigen Ausführungen ist die erste Hartmaskenschicht 212 aus Siliziumoxid und die zweite Hartmaskenschicht aus einem stickstoffhaltigen dielektrischen Material, wie zum Beispiel Siliziumnitrid oder Siliziumoxynitrid, gebildet. Sowohl die erste Hartmaskenschicht 212 als auch die zweite Hartmaskenschicht 214 kann unter Verwendung von chemischer Dampfabscheidung (CVD), Niederdruck-CVD (LPCVD), plasmaverstärkter CVD (PECVD), einem anderen geeigneten Prozess oder Kombinationen davon abgeschieden werden.
  • Bezugnehmend auf 1 und 4 umfasst das Verfahren 100 einen Block 108, in welchem Formkernmerkmale 216 (unter anderem eine erste Mehrzahl von Formkernmerkmalen 2161, eine zweite Mehrzahl von Formkernmerkmalen 2162 und eine dritte Mehrzahl von Formkernmerkmalen 2163) über der Hartmaskenschicht 210 gebildet werden. Jedes der ersten Mehrzahl von Formkernmerkmalen 2161 weist eine erste Breite W1 entlang der X-Richtung auf. Jedes der zweiten Mehrzahl von Formkernmerkmalen 2162 weist eine zweite Breite W2 entlang der X-Richtung auf. Jedes der dritten Mehrzahl von Formkernmerkmalen 2163 weist eine dritte Breite W3 entlang der X-Richtung auf. Die dritte Breite W3 ist größer als die zweite Breite W2, und die zweite Breite W2 ist größer als die erste Breite W1. In einigen Fällen beträgt ein Verhältnis der zweiten Breite W2 zur ersten Breite W1 zwischen ungefähr 1,1 und ungefähr 2,0, und ein Verhältnis der dritten Breite W3 zur ersten Breite W1 beträgt zwischen ungefähr 1,1 und ungefähr 2,0. Dabei ist festzuhalten, dass die erste Mehrzahl von Formkernmerkmalen 2161, die zweite Mehrzahl von Formkernmerkmalen 2162 und die dritte Mehrzahl von Formkernmerkmalen 2163 in 4 zwar als auf dem Werkstück 200 nebeneinander angeordnet dargestellt sind, die vorliegende Offenbarung jedoch nicht darauf beschränkt ist und 4 nur dazu dient, stellvertretend zu zeigen, dass Formkernmerkmale verschiedener Breiten in verschiedenen Bereichen desselben Werkstücks 200 gebildet werden können.
  • Die Formkernmerkmale 216 können mit dem folgenden Beispielprozess gebildet werden. Eine Opferschicht wird durch Aufschleudern, chemische Dampfabscheidung (CVD) oder einen anderen geeigneten Abscheidungsprozess über der Hartmaskenschicht 210 aufgebracht. The Opferschicht kann aus einem Material gebildet sein, welches eine Ätzselektivität aufweist, die sich von jener der Hartmaskenschicht 210 oder der Abstandhalterschicht (218, gezeigt in 5) derart unterscheidet, dass die Opferschicht ohne eine Beschädigung der Hartmaskenschicht 210 und der Abstandhalterschicht strukturiert oder entfernt werden kann. In einigen Ausführungen kann die Opferschicht ein geeignetes Halbleitermaterial (wie zum Beispiel Silizium, Germanium oder amorphes Silizium), ein dielektrisches Material (wie zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumkarbid), ein anderes geeignetes Material oder Kombinationen davon sein. Dann wird die Opferschicht strukturiert, um die Formkernmerkmale 216 zu bilden. Die Opferschicht wird unter Verwendung eines Fotolithografieprozesses strukturiert. Eine Fotolackschicht wird unter Verwendung von Aufschleudern über der Opferschicht aufgebracht, bevor die Fotolackschicht in einem Vorbelichtungsbackprozess eingebrannt wird. Die Fotolackschicht kann eine einzelne Schicht oder eine Mehrfachschicht, wie zum Beispiel eine dreilagige Schicht, sein. Die vorgebrannte Fotolackschicht wird dann durch eine Strahlung belichtet, welche von einer Fotomaske mit einer Struktur reflektiert oder durch diese hindurch übertragen wird. Die belichtete Fotolackschicht wird dann in einem Nachbelichtungsbackprozess eingebrannt und in einem Entwicklungsprozess entwickelt. Die Strahlungsquelle kann eine Excimerlaserlichtquelle, eine ultraviolette Quelle (UV-Quelle), eine Quelle für den tiefen UV-Bereich (DUV) oder eine Quelle für den extremen UV-Bereich (EUV) sein. Da die Fotolackschicht derart gewählt wird, dass sie für die Strahlung empfindlich ist, werden belichtete (oder nicht belichtete) Abschnitte der Fotolackschicht chemischen Veränderungen unterzogen, um während des Entwicklungsprozesses in einer Entwicklerlösung löslich zu werden. Die resultierende strukturierte Fotolackschicht trägt eine Struktur, welcher jener der Maske entspricht. Die strukturierte Fotolackschicht kann dann während eines Ätzprozesses als eine Ätzmaske verwendet werden, um Abschnitte der darunterliegenden Opferschicht zu entfernen. Der Ätzprozess kann einen Trockenätzprozess (zum Beispiel einen reaktiven Ionenätzprozess (RIE-Prozess)), einen Nassätzprozess, einen anderen geeigneten Ätzprozess oder Kombinationen davon umfassen. Nach dem Ätzprozess kann die strukturieren Fotolackschicht durch Veraschen oder ein anderes geeignetes Verfahren entfernt werden. Alternativ dazu kann der Belichtungsprozess maskenlose Lithografie, Elektronenstrahlschreiben, Ionenstrahlschreiben und/oder Nanodrucktechnologie anwenden. Wie in 4 gezeigt, können die Formkernmerkmale 216 entlang der X-Richtung unterschiedliche Breiten aufweisen, da sie durch Fotolithografieprozesse gebildet werden.
  • Bezugnehmend auf 1,5 und 6 umfasst das Verfahren 100 einen Block 110, in welchem eine erste Mehrzahl von Abstandhaltermerkmalen 2181, eine zweite Mehrzahl von Abstandhaltermerkmalen 2182 und eine dritte Mehrheit von Abstandhaltermerkmalen 2183 über der Hartmaskenschicht 210 gebildet werden. In einigen Ausführungsformen dargestellt in 5 wird eine Abstandhalterschicht 218 konform über dem Werkstück 200 sowie auch den oberen Flächen und Seitenwänden der Formkernmerkmale 216 unter Verwendung von Aufschleudern, chemischer Dampfabscheidung (CVD) oder eines anderen geeigneten Abscheidungsprozesses aufgebracht. Die Abstandhalterschicht 218 kann aus einem Material gebildet werden, welches eine Ätzselektivität aufweist, die sich von jener der Formkernmerkmale 216 derart unterscheidet, dass die Formkernmerkmale 216 gezielt entfernt werden können, ohne die Abstandhalterschicht 218 zu beschädigen. Zugleich kann das Material für die Abstandhalterschicht 218 derart gewählt werden, dass es eine Ätzselektivität aufweist, die sich von jener der Hartmaskenschicht 210 derart unterscheidet, dass die aus der Abstandhalterschicht 218 gebildeten Abstandhaltermerkmale als eine Ätzmaske für die Hartmaskenschicht 210 verwendet werden können. In einigen Ausführungen kann die Abstandhalterschicht 218 ein geeignetes Halbleitermaterial (wie zum Beispiel Silizium, Germanium oder amorphes Silizium), ein dielektrisches Material (wie zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumkarbid), ein anderes geeignetes Material oder Kombinationen davon sein.
  • Nunmehr bezugnehmend auf 6 kann nach dem Abscheiden der Abstandhalterschicht 218 ein anisotroper Rückätzprozess ausgeführt werden, um überschüssige Abstandhalterschicht 218 auf der Hartmaskenschicht 210 und auf der oberen Fläche der Formkernmerkmale 216 zu entfernen. Der Rückätzprozess wird derart ausgeführt, dass die Formkernmerkmale 216 von Abstandhalterschichtabschnitten, welche sich entlang der Seitenwände der Formkernmerkmale 216 erstrecken, freigelegt werden. Die Formkernmerkmale 216 werden dann gezielt entfernt, wodurch die strukturierte Abstandhalterschicht 218 zurückbleibt, welche eine erste Mehrzahl von Abstandhaltermerkmalen 2181, eine zweite Mehrzahl von Abstandhaltermerkmalen 2182 und eine dritte Mehrzahl von Abstandhaltermerkmalen 2183 aufweist. In einigen Ausführungsformen dargestellt in 6 kann die erste Mehrzahl von Abstandhaltermerkmalen 2181 durch ein erstes Abstandsmaß P1 gekennzeichnet sein, die zweite Mehrzahl von Abstandhaltermerkmalen 2182 durch ein zweites Abstandsmaß P2 gekennzeichnet sein, und die dritte Mehrzahl von Abstandhaltermerkmalen 2183 durch ein drittes Abstandsmaß P3 gekennzeichnet sein. Wie aus den 4-6 ersichtlich, entspricht das erste Abstandsmaß P1 im Wesentlichen der ersten Breite W1, das zweite Abstandsmaß P2 im Wesentlichen der zweiten Breite W2, und das dritte Abstandsmaß P3 im Wesentlichen der dritten Breite W3. In einigen Fällen ist das erste Abstandsmaß P1 im Wesentlichen gleich der ersten Breite W1 und der Dicke der Abstandhalterschicht 218; das zweite Abstandsmaß P2 im Wesentlichen gleich der zweiten Breite W2 und der Dicke der Abstandhalterschicht 218; und das dritte Abstandsmaß P3 im Wesentlichen gleich der dritten Breite W3 und der Dicke der Abstandhalterschicht 218. In einigen Ausführungen beträgt ein Verhältnis des zweiten Abstandsmaßes P2 zum ersten Abstandsmaß P1 zwischen ungefähr 1,1 und ungefähr 2,0, und ein Verhältnis des dritten Abstandsmaßes P3 zum ersten Abstandsmaß P1 zwischen ungefähr 1,1 und ungefähr 2,0. Während das zweite Abstandsmaß P2 und das dritte Abstandsmaß P3 in derselben Größenordnung liegen, ist das dritte Abstandsmaß P3 in den dargestellten Ausführungsformen größer als das zweite Abstandsmaß P2. Die Differenz zwischen dem ersten Abstandsmaß P1 und dem zweiten Abstandsmaß P2 oder dem dritten Abstandsmaß P3 beträgt mindestens ungefähr 10 %, um signifikant genug zu sein, dass die resultierenden Vorrichtungen unterschiedliche Eigenschaften oder Funktionen aufweisen können. Zugleich sind das zweite Abstandsmaß P2 oder das dritte Abstandsmaß P3 weniger als ungefähr doppelt so groß wie das erste Abstandsmaß P1, sodass die Bauelementdichte nicht durch die vergrößerten Abstandsmaße leidet.
  • Bezugnehmend auf 1 und 7 umfasst das Verfahren 100 einen Block 112, in welchem die Hartmaskenschicht 210 unter Verwendung der ersten Mehrzahl von Abstandhaltermerkmalen 2181, der zweiten Mehrzahl von Abstandhaltermerkmalen 2182 und der dritten Mehrheit von Abstandhaltermerkmalen 2183 als eine Ätzmaske strukturiert wird. In einigen Ausführungsformen kann die Hartmaskenschicht 210 aufweisend die erste Hartmaskenschicht 212 und die zweite Hartmaskenschicht 214 unter Verwendung eines Trockenätzprozesses, eines Nassätzprozesses oder eines anderen geeigneten Prozesses durch die erste Mehrzahl von Abstandhaltermerkmalen 2181, die zweite Mehrzahl von Abstandhaltermerkmalen 2182 und die dritte Mehrzahl von Abstandhaltermerkmalen 2183 geätzt werden. Eine Beispieltrockenätzung kann einen fluorhaltigen Vorläufer (zum Beispiel CF4, SF6, NF3, CH2F2, CHF3 und/oder C2F6), einen sauerstoffhaltigen Vorläufer, einen chlorhaltigen Vorläufer (zum Beispiel Cl2, CHCl3, CCl4 und/oder BCl3), einen bromhaltigen Vorläufer (zum Beispiel HBr and/oder CHBR3), einen iodhaltigen Vorläufer, andere geeignete Vorläufer (welche dazu verwendet werden können, ein Ätzmittelgas und/oder ein Ätzplasma zu erzeugen) oder Kombinationen davon verwenden. Ein Beispielnassätzprozess wendet eine Ätzlösung an, welche TMAH, NH4OH, H2O2, H2SO4, HF, HCl, andere geeignete Nassätzbestandteile oder Kombinationen davon enthält. Wie in 7 gezeigt, übertragen Vorgänge bei Block 112 Strukturen der ersten Mehrzahl von Abstandhaltermerkmalen 2181, der zweiten Mehrzahl von Abstandhaltermerkmalen 2182 und der dritten Mehrzahl von Abstandhaltermerkmalen 2183 auf die Hartmaskenschicht 210, wodurch eine strukturierte Hartmaske 220 entsteht, welche eine erste Mehrzahl von Hartmaskenmerkmalen 2201, eine zweite Mehrzahl von Hartmaskenmerkmalen 2202 und die dritte Mehrzahl von Hartmaskenmerkmalen 2203 aufweist. Wie die erste Mehrzahl von Abstandhaltermerkmalen 2181, die zweite Mehrzahl von Abstandhaltermerkmalen 2182, und die dritte Mehrzahl von Abstandhaltermerkmalen 2183, weist die erste Mehrzahl von Hartmaskenmerkmalen 2201 das erste Abstandsmaß P1, die zweite Mehrzahl von Hartmaskenmerkmalen 2202 das zweite Abstandsmaß P2, und die dritte Mehrzahl von Hartmaskenmerkmalen 2203 das dritte Abstandsmaß P3 auf. Wie in 7 gezeigt, weist die Gate-Materialschicht 208, welche unter der ersten Mehrzahl von Hartmaskenmerkmalen 2201, der zweiten Mehrzahl von Hartmaskenmerkmalen 2202 und der dritten Mehrzahl von Hartmaskenmerkmale 2203 angeordnet ist, eine erste Dicke (T1) gemessen von einer oberen Fläche der Finne 204 auf.
  • Bezugnehmend auf 1 und 8 umfasst das Verfahren 100 einen Block 114, in welchem ein erster Ätzprozess 300 unter Verwendung der strukturierten Hartmaske 220 als eine Ätzmaske ausgeführt wird. In einigen Ausführungsformen ist der erste Ätzprozess 300 ein Hauptätzprozess, welcher von oben nach unten von einer oberen Flächenebene Z1 der Gate-Materialschicht 208 durch eine zweite Dicke T2 bis zu einer Ebene Z2 ätzt. In einigen Fällen beträgt die zweite Dicke T2 ungefähr 90 % bis ungefähr 95 % der ersten Dicke T1. Das bedeutet, nach Abschluss des ersten Ätzprozesses 300 verbleibt eine begrenzte oder messbare Menge der Gate-Materialschicht über oberen Flächen der Mehrzahl von Finnen 204. Um das Nebenprodukt des ersten Ätzprozesses 300 der Gate-Materialschicht 208 problemlos zu entfernen, umfasst der erste Ätzprozess einen Trockenätzprozess, welcher Tetrafluorkohlenstoff (CF4) als Ätzmittel verwendet. In herkömmlichen Prozessen wird die Verwendung von Tetrafluorkohlenstoff (CF4) im Hauptätzprozess nicht bevorzugt, da sie zu starker seitlicher Ätzung führen kann, welche obere Abschnitte der Gate-Strukturen (230, gezeigt in 11) vorzeitig verdünnen kann. Gemäß der vorliegenden Offenbarung wird der Druck des ersten Ätzprozesses 300 von weniger als 20 mTorr beim herkömmlichen Prozess auf zwischen ungefähr 40 mTorr und ungefähr 100 mTorr erhöht. Es zeigt sich, dass der erhöhte Prozessdruck des dritten Ätzprozesses 500 die mittlere freie Weglänge von Tetrafluorkohlenstoff reduziert, wodurch die seitliche Ätzung in Zusammenhang mit der Verwendung von Tetrafluorkohlenstoff vermindert wird. Der offenbarte Druckbereich (d.h. zwischen ungefähr 40 mTorr und ungefähr 100 mTorr) wird derart gewählt, dass der Druck des ersten Ätzprozesses 300 mindestens doppelt so hoch ist, wie der herkömmliche Druckbereich, um nachweisbare Unterschiede der Ätzcharakteristik sicherzustellen. Zugleich wird dieser Druckbereich derart gewählt, dass der Druck des ersten Ätzprozesses 300 die mittlere freie Weglänge nicht unangemessen reduziert, um die Ätzraten nicht übermäßig zu verringern. Der erhöhte Prozessdruck bei Block 114 erfordert mehr Energie, um ein Plasma zu zünden. In einigen Ausführungsformen können der erste Ätzprozess 300 und der dritte Ätzprozess 500 die Verwendung induktiv gekoppelten Plasmas (ICP) betrieben im Bereich von zwischen ungefähr 400 W und ungefähr 3500 W oder die Verwendung kapazitiv gekoppelten Plasmas (CCP) betrieben im Bereich von zwischen ungefähr 500 W und ungefähr 5500 W umfassen. Fällt das Leistungsniveau des ICP oder des CCP unter die offenbarten Bereiche, kann sich die Ätzrate unangemessen reduzieren und die Prozessdauer erhöhen. Eine erhöhte Prozessdauer würde zu einer Erhöhung der Produktionskosten führen. Steigt das Leistungsniveau des ICP oder des CCP über die offenbarten Bereiche, kann sich die Ätzrate unangemessen erhöhen, und die gewünschte Ätzcharakteristik kann verloren gehen, was zu unerwünschten Finnenseitenwandprofilen führt.
  • Obwohl die seitliche Ätzung für den ersten Ätzprozess 300 bedenklich ist, wird beim ersten Ätzprozess 300 kein Sauerstoffgas (O2) verwendet, welches bekannt dafür ist, mehr Nebenprodukte zum Passivieren von Seitenwänden der Gate-Strukturen 230 (gezeigt in 11) zu erzeugen. In einigen Ausführungen kann der erste Ätzprozess 300 neben Tetrafluorkohlenstoff (CF4) ferner Bromwasserstoff (HBr) und Chlor (Cl2) aufweisen. In einigen Fällen weist der erste Ätzprozess 300 Bromwasserstoff mit 200 sccm (Standardkubikzentimeter pro Minute) und Tetrafluorkohlenstoff mit ungefähr 20 sccm bis 50 sccm auf. Wie in 8 gezeigt, bildet der erste Ätzprozess bei Block 114 einen ersten Graben 241 definiert zwischen zwei der ersten Mehrzahl von Hartmaskenmerkmalen 2201, einen zweiten Graben 242 definiert zwischen zwei der zweiten Mehrzahl von Hartmaskenmerkmalen 2202, und einen dritten Graben 243 definiert zwischen zwei der dritten Mehrzahl von Hartmaskenmerkmalen 2203. Der erste Graben 241, der zweite Graben 242 und der dritte Graben 243 weisen jeweils eine Tiefe auf, die im Wesentlichen gleich der zweiten Dicke T2 ist. Wie oben beschrieben beträgt die zweite Dicke T2 ungefähr 90 % bis ungefähr 95 % der ersten Dicke T1.
  • Bezugnehmend auf 1,9 und 10 umfasst das Verfahren 100 einen Block 116, in welchem ein zweiter Ätzprozess 400 unter Verwendung der strukturierten Hartmaske 220 als eine Ätzmaske ausgeführt wird. Zunächst wird Bezug genommen auf 9. In einigen Ausführungsformen ist der zweite Ätzprozess 400 ein sanft aufsetzender („soft-landing“) Ätzprozess, welcher von oben nach unten von der Ebene Z2 bis zu einer Ebene Z3 an der oberen Fläche des Isolationsmerkmals 206 ätzt. Anders als der erste Ätzprozess 300, ist der zweite Ätzprozess 400 frei von Tetrafluorkohlenstoff und umfasst Sauerstoffgas (O2), um die seitliche Passivierung zu verbessern. In einigen Ausführungen kann der zweite Ätzprozess 400 die Verwendung von Chlor (Cl2), Sauerstoffgas (O2) und Bromwasserstoff (HBr) umfassen. In einigen Fällen beträgt der Prozessdruck für den zweiten Ätzprozess 400 aus ähnlichen Gründen wie jenen, welche oben in Bezug auf Block 114 beschrieben worden sind, ebenfalls zwischen ungefähr 40 Millitorr (mTorr) und ungefähr 100 mTorr. Wie in 9 gezeigt, erweitert der zweite Ätzprozess 400 den erste Graben 241, den zweiten Graben 242 und den dritten Graben 243 bis zu Ebene Z3 (d.h. der oberen Fläche des Isolationsmerkmals 206).
  • In der Folge wird Bezug genommen auf 10. Um eine unbeabsichtigte Beschädigung der Mehrzahl von Finnen 204 zu vermeiden, kann der zweite Ätzprozess 400 in einigen Ausführungsformen optional einen Nitrierungsprozess 410 umfassen, welcher das Einbringen eines stickstoffhaltigen Reagens, wie zum Beispiel Stickstoffgas (N2) oder Ammoniak (NH3), umfasst, um die dielektrische Dummy-Gate-Schicht 207 zu nitrieren. In Fällen, in welchen die dielektrische Dummy-Gate-Schicht 207 aus Siliziumoxid gebildet ist, kann der Nitrierungsprozess 410 Stickstoff derart in die dielektrische Dummy-Gate-Schicht 207 einbringen, dass mindestens ein äußerer Abschnitt der dielektrischen Dummy-Gate-Schicht 207 aus Siliziumoxynitrid (SiON) gebildet wird. Da Siliziumoxynitrid mit einer langsameren Geschwindigkeit ätzt, als es Siliziumoxid während des zweiten Ätzprozesses 400 tut, kann der Nitrierungsprozess 410 die Finne 204 vor Beschädigungen durch den zweiten Ätzprozess 400 schützen. Der Nitrierungsprozess 410 kann in den zweiten Ätzprozess 400 integriert werden. In dieser Hinsicht kann der zweite Ätzprozess 400 einen Ätzzyklus (d.h. die Verwendung der oben beschriebenen Ätzmittel, wie zum Beispiel Chlor, Bromwasserstoff und Sauerstoffgas) und einen Nitrierungszyklus (d.h. den Nitrierungsprozess 410) umfassen. In einem Beispiel kann der zweite Ätzprozess 400 mit einem Ätzzyklus beginnen, gefolgt von einem Nitrierungszyklus, welchem wieder ein weiterer Ätzzyklus folgt. Andere Anordnungen des Ätzzyklus und des Nitrierungszyklus sind vollumfänglich berücksichtigt.
  • Bezugnehmend auf 1 und 11 umfasst das Verfahren 100 einen Block 118, in welchem ein dritter Ätzprozess 500 unter Verwendung der strukturierten Hartmaske 220 als eine Ätzmaske ausgeführt wird. In einigen Ausführungsformen ist der dritte Ätzprozess 500 ein überätzender Prozess, welcher von oben nach unten in die obere Fläche des Isolationsmerkmals 206 ätzt. Das bedeutet, der dritte Ätzprozess 500 erweitert ferner den ersten Graben 241, den zweiten Graben 242 und den dritten Graben 243 zumindest teilweise in das Isolationsmerkmal 206. Nach Abschluss der Vorgänge bei Block 118 sind die Gate-Strukturen 230 im Wesentlichen gebildet. Wie in 11 gezeigt, weisen die Gate-Strukturen 230 die erste Mehrzahl von Gate-Strukturen 2301, welche das erste Abstandsmaß P1 aufweist, die zweite Mehrzahl von Gate-Strukturen 2302, welche das zweite Abstandsmaß P2 aufweist, und die dritte Mehrzahl von Gate-Strukturen 2303, welche das dritte Abstandsmaß P3 aufweist, auf. Gemäß der vorliegenden Offenbarung besteht der Zweck des dritten Ätzprozesses 500 darin, die Seitenwände zum Boden des ersten Grabens, des zweiten Grabens 242 und des dritten Grabens 243 seitlich zu ätzen. In einigen Ausführungsformen umfasst der dritte Ätzprozess 500 die Verwendung von Chlor (Cl2) zugeführt mit zwischen ungefähr 200 sccm und ungefähr 500 sccm. Um unbeabsichtigte Beschädigungen des Abschnitts der Gate-Strukturen 230, welche bereits im ersten Ätzprozess 300 und im zweiten Ätzprozess 400 gebildet worden sind, zu vermeiden, kann der dritte Ätzprozess 500 zwischen ungefähr 10 Sekunden und ungefähr 20 Sekunden dauern. In einigen Ausführungen umfasst der dritte Ätzprozess 500 keine Verwendung von Bromwasserstoff (HBr) und Sauerstoffgas (O2). Ersterer weist eine kleinere Ätzrate als Chlor auf und Letzteres dient dazu, die seitliche Passivierung zu verbessern. In einigen Fällen wird auch der dritte Ätzprozess 500 aus ähnlichen Gründen wie jenen, die oben in Bezug auf Block 114 beschrieben worden sind, mit einem Druck von zwischen ungefähr 40 mTorr und ungefähr 100 mTorr ausgeführt. Wie oben beschrieben kann dieser erhöhte Druckbereich die mittlere freie Weglänge des Ätzmittels verringern, und kann die Ätzrate verringern.
  • Bezugnehmend auf 1 umfasst das Verfahren 100 einen Block 120, in welchem weitere Prozesse ausgeführt werden. Solche weiteren Prozesse können die Abscheidung von Gate-Abstandhaltern über den Gate-Strukturen 230, die Abscheidung einer dielektrischen Zwischenschicht (ILD-Schicht) über dem Werkstück 200, die Bildung von Source-/Drain-Gräben angrenzend an die Gate-Strukturen 230, die Bildung von epitaxialen Source-/Drain-Merkmalen, die Abscheidung einer weiteren dielektrischen Zwischenschicht (ILD-Schicht), das Ersetzen der Gate-Stapel durch funktionale Gate-Strukturen, die Bildung von Source-/Drain-Kontakten zu den epitaxialen Source-/Drain-Merkmalen und die Bildung von Gate-Kontakten zu den funktionalen Gate-Strukturen umfassen. Da die funktionalen Gate-Strukturen die Gate-Strukturen 230 ersetzen, erben bzw. übernehmen sie im Wesentlichen die Formen, Abmessungen und Abstandsmaße der Gate-Strukturen 230. Nach Abschluss des Verfahrens 100 weisen die funktionalen Gate-Strukturen der Halbleitervorrichtung 200 eine erste Mehrzahl funktionaler Gate-Strukturen, welche die erste Mehrzahl von Gate-Strukturen 2301 ersetzen, eine zweite Mehrzahl funktionaler Gate-Strukturen, welche die zweite Mehrzahl von Gate-Strukturen 2302 ersetzen, und eine dritte Mehrzahl funktionaler Gate-Strukturen, welche die dritte Mehrzahl von Gate-Strukturen 2303 ersetzen, auf. In der Folge kann die erste Mehrzahl funktionaler Gate-Strukturen das erste Abstandsmaß P1, die zweite Mehrzahl funktionaler Gate-Strukturen das zweite Abstandsmaß P2 und die dritte Mehrzahl funktionaler Gate-Strukturen das dritte Abstandsmaß P3 aufweisen.
  • Prozesse der vorliegenden Offenbarung stellen Vorteile bereit. Ein Beispiel für die Prozesse der vorliegenden Offenbarung umfasst einen ersten Ätzprozess, einen zweiten Ätzprozess und einen dritten Ätzprozess. Der erste Ätzprozess ist ein Hauptätzprozess, welcher durch einen wesentlichen Teil der Tiefe der Gate-Materialschicht über den Finnen ätzt, um einen Graben zu bilden. Der erste Ätzprozess umfasst die Verwendung von Tetrachlorkohlenstoff (CF4) zur problemlosen Entfernung von Nebenprodukten und einen erhöhten Druck zum Reduzieren seitlicher Ätzung. Der erste Ätzprozess umfasst keine Verwendung von Sauerstoffgas, welches eine vermehrte Neuabscheidung von Nebenprodukten verursachen könnte. Der zweite Ätzprozess ist ein sanft aufsetzender Ätzprozess, welcher den Graben bis zu einer oberen Fläche des Isolationsmerkmals erweitert. Der zweite Ätzprozess umfasst die Verwendung von Sauerstoff und umfasst einen Nitrierungsprozess, um die Finnen vor Beschädigungen zu schützen. Der dritte Ätzprozess ist ein Überätzprozess, welcher den Graben teilweise in das Isolationsmerkmal erweitert. Der dritte Ätzprozess umfasst die Verwendung von Chlor (Cl2) und eine kurze Ätzdauer. Prozesse der vorliegenden Offenbarung können dazu verwendet werden, durch eine Gate-Materialschicht zu ätzen, um ohne zusätzliche Fotolithografieschritte Gate-Strukturen mit unterschiedlichen Abstandsmaßen zu bilden.
  • In einem Aspekt stellt die vorliegende Offenbarung ein Verfahren bereit. Das Verfahren umfasst das Bereitstellen eines Werkstücks aufweisend ein Substrat und eine Mehrzahl von Halbleiterfinnen über dem Substrat, wobei jede der Mehrzahl von Halbleiterfinnen von einer anderen der Mehrzahl von Halbleiterfinnen durch ein Isolationsmerkmal beabstandet ist, das Abscheiden einer Gate-Materialschicht über dem Werkstück, wobei die Gate-Materialschicht eine erste Dicke über einer oberen Fläche der Mehrzahl von Halbleiterfinnen aufweist, das Bilden einer strukturierten Hartmaske über der Gate-Materialschicht, wobei die strukturierte Hartmaske eine erste Mehrzahl länglicher Merkmale und eine zweite Mehrzahl länglicher Merkmale aufweist, das Ausführen eines ersten Ätzprozesses unter Verwendung der strukturieren Hartmaske als eine Ätzmaske durch die Gate-Materialschicht, um einen Graben zu bilden, welcher sich durch ungefähr 90 % bis ungefähr 95 % der erste Dicke zur oberen Fläche der Mehrzahl von Halbleiterfinnen erstreckt, das Ausführen eines zweiten Ätzprozesses unter Verwendung der strukturierten Hartmaskenschicht als eine Ätzmaske, um den Graben bis zu einer oberen Fläche des Isolationsmerkmals zu erweitern, und das Ausführen eines dritten Ätzprozesses unter Verwendung der strukturierten Hartmaske, um den Graben bis in das Isolationsmerkmal zu erweitern. Die erste Mehrzahl länglicher Merkmale weist ein erstes Abstandsmaß auf, und die zweite Mehrzahl länglicher Merkmale weist ein zweites Abstandsmaß auf, welches größer ist als das erste Abstandsmaß. Der erste Ätzprozess umfasst die Verwendung von Tetrafluorkohlenstoff und eines Drucks von zwischen ungefähr 40 mTorr und ungefähr 100 mTorr, und beim ersten Ätzprozess wird kein Sauerstoffgas verwendet.
  • In einigen Ausführungsformen umfasst der erste Ätzprozess ferner die Verwendung von Bromwasserstoff und Chlor. In einigen Ausführungen beträgt ein Verhältnis des zweiten Abstandsmaßes zum ersten Abstandsmaß zwischen ungefähr 1,1 und ungefähr 2,0. In einigen Fällen umfasst der zweite Ätzprozess einen Trockenätzprozess unter Verwendung von Chlor, Bromwasserstoff oder Sauerstoffgas. In einigen Ausführungen umfasst der zweite Ätzprozess einen Nitrierungsprozess, welcher ein stickstoffhaltiges Reagens verwendet. In einigen Ausführungsformen enthält das stickstoffhaltige Reagens Stickstoffgas (N2). In einigen Ausführungsformen umfasst der dritte Ätzprozess Chlor. In einigen Ausführungen umfasst der dritte Ätzprozess keine Verwendung von Sauerstoffgas und Bromwasserstoff.
  • In einem weiteren Aspekt stellt die vorliegende Offenbarung ein Verfahren bereit. Das Verfahren umfasst das Bereitstellen eines Werkstücks, welches ein Substrat, eine Mehrzahl von Halbleiterfinnen über dem Substrat, wobei jede der Mehrzahl von Halbleiterfinnen von einer weiteren der Mehrzahl von Halbleiterfinnen durch ein Isolationsmerkmal beabstandet angeordnet ist, und eine dielektrische Schicht konform angeordnet über der Mehrzahl von Halbleiterfinnen, aufweist, das Abscheiden einer Gate-Materialschicht über dem Werkstück, wobei die Gate-Materialschicht eine erste Dicke über einer oberen Fläche der Mehrzahl von Halbleiterfinnen aufweist, das Bilden einer strukturierten Hartmaske über der Gate-Materialschicht, wobei die strukturierte Hartmaske eine erste Mehrzahl länglicher Merkmale und eine zweite Mehrzahl länglicher Merkmale aufweist, das Ausführen eines ersten Ätzprozesses unter Verwendung der strukturierten Hartmaske als eine Ätzmaske zum Bilden eines Grabens, welcher sich durch einen wesentlichen Teil der ersten Dicke erstreckt, das Ausführen eines zweiten Ätzprozesses unter Verwendung der strukturierten Hartmaske als eine Ätzmaske, um den Graben bis zu einer oberen Fläche des Isolationsmerkmals zu erweitern, und das Ausführen eines dritten Ätzprozesses unter Verwendung der strukturierten Hartmaske, um den Graben in das Isolationsmerkmal zu erweitern. Die erste Mehrzahl länglicher Merkmale weist ein erstes Abstandsmaß auf, und die zweite Mehrzahl länglicher Merkmale weist ein zweites Abstandsmaß auf, welches größer ist als das erste Abstandsmaß. Der erste Ätzprozess und der dritte Ätzprozess verwenden kein Sauerstoffgas, und der zweite Ätzprozess umfasst die Verwendung von Sauerstoffgas.
  • In einigen Ausführungsformen umfasst der erste Ätzprozess die Verwendung von Bromwasserstoff, Tetrafluorkohlenstoff und Chlor. In einigen Ausführungen umfasst der erste Ätzprozess einen Druck von zwischen ungefähr 40 mTorr und ungefähr 100 mTorr. In einigen Fällen beträgt ein Verhältnis des zweiten Abstandsmaßes zum ersten Abstandsmaß zwischen ungefähr 1,1 und ungefähr 2,0. In einigen Ausführungsformen umfasst der zweite Ätzprozess einen Trockenätzprozess unter Verwendung von Chlor, Bromwasserstoff oder Sauerstoffgas. In einigen Ausführungen umfasst der dritte Ätzprozess Chlor. In einigen Ausführungsformen umfasst der zweite Ätzprozess einen Nitrierungsprozess, um Stickstoff in die dielektrische Schicht einzubringen. In einigen Fällen umfasst der Nitrierungsprozess die Verwendung von Stickstoffgas (N2).
  • In noch einem weiteren Aspekt stellt die vorliegende Offenbarung ein Verfahren bereit. Das Verfahren umfasst das Bereitstellen eines Werkstücks, welches ein Substrat, eine Mehrzahl von Halbleiterfinnen über dem Substrat, wobei jede der Mehrzahl von Halbleiterfinnen von einer weiteren der Mehrzahl von Halbleiterfinnen durch ein Isolationsmerkmal beabstandet angeordnet ist, und eine Siliziumoxidschicht konform angeordnet über der Mehrzahl von Halbleiterfinnen, aufweist, das Abscheiden einer Gate-Materialschicht über dem Werkstück, wobei die Gate-Materialschicht eine erste Dicke über einer oberen Fläche der Mehrzahl von Halbleiterfinnen aufweist, das Bilden einer strukturierten Hartmaske über der Gate-Materialschicht, wobei die strukturierte Hartmaske eine erste Mehrzahl länglicher Merkmale und eine zweite Mehrzahl länglicher Merkmale aufweist, das Ausführen eines ersten Ätzprozesses unter Verwendung der strukturierten Hartmaske als eine Ätzmaske zum Bilden eines Grabens, welcher sich durch einen wesentlichen Teil der ersten Dicke erstreckt, das Ausführen eines zweiten Ätzprozesses unter Verwendung der strukturierten Hartmaske als eine Ätzmaske, um den Graben bis zu einer oberen Fläche des Isolationsmerkmals zu erweitern, und das Ausführen eines dritten Ätzprozesses unter Verwendung der strukturierten Hartmaske, um den Graben in das Isolationsmerkmal zu erweitern. Die erste Mehrzahl länglicher Merkmale weist ein erstes Abstandsmaß auf, und die zweite Mehrzahl länglicher Merkmale weist ein zweites Abstandsmaß auf, welches ungefähr 1,1 Mal bis ungefähr 2 Mal so groß ist, wie das erste Abstandsmaß. Der erste Ätzprozess umfasst Tetrafluorkohlenstoff und verwendet kein Sauerstoffgas. Der dritte Ätzprozess verwendet kein Sauerstoffgas und keinen Bromwasserstoff, und umfasst Chlor.
  • In einigen Ausführungen umfasst der erste Ätzprozess ferner die Verwendung von Bromwasserstoff und Chlor. In einigen Ausführungen umfasst der erste Ätzprozess einen Druck von zwischen ungefähr 40 mTorr und ungefähr 100 mTorr. In einigen Fällen umfasst der zweite Ätzprozess einen Nitrierungsprozess, um einen Abschnitt der Siliziumoxidschicht in Siliziumoxynitrid umzuwandeln.
  • Das Vorstehende beschreibt Merkmale mehrerer Ausführungsformen, sodass Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen können. Fachleute sollten erkennen, dass sie die vorliegende Offenbarung problemlos als eine Grundlage für das Designen oder Modifizieren anderer Prozesse und Strukturen zum Erreichen derselben Zwecke und/oder Erlangen derselben Vorteile der hierein vorgestellten Ausführungsformen verwenden können. Fachleute sollten ferner realisieren, dass solche äquivalenten Konstruktionen nicht vom Gedanken und Umfang der vorliegenden Offenbarung abweichen, und dass sie verschiedenste Änderungen, Ersetzungen und Neugestaltungen vornehmen können, ohne vom Gedanken und Umfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Verfahren, umfassend: Bereitstellen eines Werkstücks mit einem Substrat und einer Mehrzahl von Halbleiterfinnen über dem Substrat, wobei jede der Mehrzahl von Halbleiterfinnen durch ein Isolationsmerkmal in einem Abstand von einer weiteren der Mehrzahl von Halbleiterfinnen angeordnet ist; Abscheiden einer Gate-Materialschicht über dem Werkstück, wobei die Gate-Materialschicht eine erste Dicke über einer oberen Fläche der Mehrzahl Halbleiterfinnen aufweist; Bilden einer strukturierten Hartmaske über der Gate-Materialschicht, wobei die strukturierte Hartmaske eine erste Mehrzahl länglicher Merkmale und eine zweite Mehrzahl länglicher Merkmale aufweist; Ausführen eines ersten Ätzprozess unter Verwendung der strukturierten Hartmaske als eine Ätzmaske durch die Gate-Materialschicht, um einen Graben zu bilden, der sich durch ungefähr 90 % bis ungefähr 95 % der ersten Dicke zu einer oberen Fläche der Mehrzahl von Halbleiterfinnen erstreckt; Ausführen eines zweiten Ätzprozess unter Verwendung der strukturierten Hartmaske als eine Ätzmaske, um den Graben bis zu einer oberen Fläche des Isolationsmerkmals zu erweitern; und Ausführen eines dritten Ätzprozesses unter Verwendung der strukturierten Hartmaske, um den Graben in das Isolationsmerkmal zu erweitern, wobei die erste Mehrzahl länglicher Merkmale ein erstes Abstandsmaß aufweist und die zweite Mehrzahl länglicher Merkmale ein zweites Abstandsmaß aufweist, welches größer ist als das erste Abstandsmaß, wobei der erste Ätzprozess die Verwendung von Tetrafluorkohlenstoff und eines Drucks von zwischen ungefähr 40 mTorr und ungefähr 100 mTorr umfasst, wobei der erste Ätzprozess kein Sauerstoffgas verwendet.
  2. Verfahren nach Anspruch 1, wobei der erste Ätzprozess ferner die Verwendung von Bromwasserstoff und Chlor umfasst.
  3. Verfahren nach Anspruch 1 oder 2, wobei ein Verhältnis des zweiten Abstandsmaßes zum ersten Abstandsmaß zwischen ungefähr 1,1 und ungefähr 2,0 beträgt.
  4. Verfahren nach einem der vorstehenden Ansprüche, wobei der zweite Ätzprozess einen Trockenätzprozess unter Verwendung von Chlor, Bromwasserstoff oder Sauerstoffgas umfasst.
  5. Verfahren nach einem der Ansprüche 1 bis 3, wobei der zweite Ätzprozess einen Nitrierungsprozess umfasst, welcher ein stickstoffhaltiges Reagens verwendet.
  6. Verfahren nach Anspruch 5, wobei das stickstoffhaltige Reagens Stickstoffgas (N2) umfasst.
  7. Verfahren nach einem der vorstehenden Ansprüche, wobei der dritte Ätzprozess Chlor umfasst.
  8. Verfahren nach einem der vorstehenden Ansprüche, wobei der dritte Ätzprozess kein Sauerstoffgas und keinen Bromwasserstoff verwendet.
  9. Verfahren, umfassend: Bereitstellen eines Werkstücks, welches aufweist: ein Substrat, eine Mehrzahl von Halbleiterfinnen über dem Substrat, wobei jede der Mehrzahl von Halbleiterfinnen durch ein Isolationsmerkmal in einem Abstand von einer weiteren der Mehrzahl von Halbleiterfinnen angeordnet ist, und eine dielektrische Schicht, die über der Mehrzahl von Halbleiterfinnen konform angeordnet ist; Abscheiden einer Gate-Materialschicht über dem Werkstück, wobei die Gate-Materialschicht eine erste Dicke über einer oberen Fläche der Mehrzahl von Halbleiterfinnen aufweist; Bilden einer strukturierten Hartmaske über der Gate-Materialschicht, wobei die strukturierte Hartmaske eine erste Mehrzahl länglicher Merkmale und eine zweite Mehrzahl länglicher Merkmale aufweist; Ausführen eines ersten Ätzprozesses unter Verwendung einer strukturierten Hartmaske zum Bilden eines Grabens, welcher sich durch einen wesentlichen Teil der erste Dicke erstreckt; Ausführen eines zweiten Ätzprozesses unter Verwendung der strukturierten Hartmaske als eine Ätzmaske, um den Graben bis zu einer oberen Fläche des Isolationsmerkmals zu erweitern; und Ausführen eines dritten Ätzprozesses unter Verwendung der strukturierten Hartmaske, um den Graben in das Isolationsmerkmal zu erweitern, wobei die erste Mehrzahl länglicher Merkmale ein erstes Abstandsmaß aufweist und die zweite Mehrzahl länglicher Merkmale ein zweites Abstandsmaß aufweist, welches größer ist als das erste Abstandsmaß, wobei der erste Ätzprozess und der dritte Ätzprozess kein Sauerstoffgas verwenden und der zweite Ätzprozess die Verwendung von Sauerstoffgas umfasst.
  10. Verfahren nach Anspruch 9, wobei der erste Ätzprozess die Verwendung von Bromwasserstoff, Tetrafluorkohlenstoff und Chlor umfasst.
  11. Verfahren nach Anspruch 9 oder 10, wobei der erste Ätzprozess die Verwendung eines Drucks von zwischen ungefähr 40 mTorr und ungefähr 100 mTorr umfasst.
  12. Verfahren nach Anspruch 9 bis 11, wobei ein Verhältnis des zweiten Abstandsmaßes zum ersten Abstandsmaß zwischen ungefähr 1,1 und ungefähr 2,0 beträgt.
  13. Verfahren nach einem der Ansprüche 9 bis 12, wobei der zweite Ätzprozess einen Trockenätzprozess unter Verwendung von Chlor, Bromwasserstoff oder Sauerstoffgas umfasst.
  14. Verfahren nach einem der Ansprüche 9 bis 13, wobei der dritte Ätzprozess Chlor umfasst.
  15. Verfahren nach einem der Ansprüche 9 bis 14, wobei der zweite Ätzprozess einen Nitrierungsprozess umfasst, um Stickstoff in die dielektrische Schicht einzubringen.
  16. Verfahren nach Anspruch 15, wobei der Nitrierungsprozess die Verwendung von Stickstoffgas (N2) umfasst.
  17. Verfahren, umfassend: Bereitstellen eines Werkstücks, welches aufweist: ein Substrat, eine Mehrzahl von Halbleiterfinnen über dem Substrat, wobei jede der Mehrzahl von Halbleiterfinnen durch ein Isolationsmerkmal in einem Abstand von einer weiteren der Mehrzahl von Halbleiterfinnen angeordnet ist, und eine Siliziumoxidschicht, die über der Mehrzahl von Halbleiterfinnen konform angeordnet ist; Abscheiden einer Gate-Materialschicht über dem Werkstück, wobei die Gate-Materialschicht eine erste Dicke über einer oberen Fläche der Mehrzahl von Halbleiterfinnen aufweist; Bilden einer strukturierten Hartmaske über der Gate-Materialschicht, wobei die strukturierte Hartmaske eine erste Mehrzahl länglicher Merkmale und eine zweite Mehrzahl länglicher Merkmale aufweist; Ausführen eines ersten Ätzprozesses unter Verwendung einer strukturierten Hartmaske zum Bilden eines Grabens, welcher sich durch einen wesentlichen Teil der erste Dicke erstreckt; Ausführen eines zweiten Ätzprozesses unter Verwendung der strukturierten Hartmaske als eine Ätzmaske, um den Graben bis zu einer oberen Fläche des Isolationsmerkmals zu erweitern; und Ausführen eines dritten Ätzprozesses unter Verwendung der strukturierten Hartmaske, um den Graben in das Isolationsmerkmal zu erweitern, wobei die erste Mehrzahl länglicher Merkmale ein erstes Abstandsmaß aufweist und die zweite Mehrzahl länglicher Merkmale ein zweites Abstandsmaß aufweist, welches ungefähr 1,1 Mal bis ungefähr 2 Mal so groß ist, wie das erste Abstandsmaß, wobei der erste Ätzprozess Tetrafluorkohlenstoff umfasst und kein Sauerstoffgas verwendet, wobei der dritte Ätzprozess kein Sauerstoffgas und keinen Bromwasserstoff verwendet und Chlor umfasst.
  18. Verfahren nach Anspruch 17, wobei der erste Ätzprozess ferner die Verwendung von Bromwasserstoff und Chlor umfasst.
  19. Verfahren nach Anspruch 17 oder 18, wobei der erste Ätzprozess die Verwendung eines Drucks von zwischen ungefähr 40 mTorr und ungefähr 100 mTorr umfasst.
  20. Verfahren nach einem der Ansprüche 17 bis 19, wobei der zweite Ätzprozess einen Nitrierungsprozess umfasst, um einen Abschnitt der Siliziumoxidschicht in Siliziumoxynitrid umzuwandeln.
DE102020105664.8A 2020-02-25 2020-03-03 Gatebildungsprozess Active DE102020105664B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
TW16/800,871 2020-02-25
US16/800,871 US11264282B2 (en) 2020-02-25 2020-02-25 Gate formation process

Publications (2)

Publication Number Publication Date
DE102020105664A1 true DE102020105664A1 (de) 2021-08-26
DE102020105664B4 DE102020105664B4 (de) 2022-04-14

Family

ID=77367031

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020105664.8A Active DE102020105664B4 (de) 2020-02-25 2020-03-03 Gatebildungsprozess

Country Status (5)

Country Link
US (2) US11264282B2 (de)
KR (1) KR102360542B1 (de)
CN (1) CN113380704A (de)
DE (1) DE102020105664B4 (de)
TW (1) TWI815072B (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11094695B2 (en) * 2019-05-17 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device and method of forming the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110014791A1 (en) 2009-02-04 2011-01-20 Globalfoundries Inc. Methods for fabricating finfet structures having different channel lengths
US20170338326A1 (en) 2016-03-24 2017-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Two-Step Dummy Gate Formation

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR200199461Y1 (ko) 1998-07-08 2000-11-01 한영우 운반기구용 고정구
KR101785447B1 (ko) 2011-05-26 2017-10-16 삼성전자 주식회사 반도체 소자의 제조 방법
US8736084B2 (en) 2011-12-08 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for E-beam in-chip overlay mark
US8837810B2 (en) 2012-03-27 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for alignment in semiconductor device fabrication
US9404743B2 (en) 2012-11-01 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for validating measurement data
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9304403B2 (en) 2013-01-02 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for lithography alignment
US8716841B1 (en) 2013-03-14 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography mask and process
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9134633B2 (en) 2013-12-23 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for dark field inspection
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
KR102509925B1 (ko) 2015-12-03 2023-03-15 삼성전자주식회사 반도체 소자의 제조 방법
US10217741B2 (en) 2016-08-03 2019-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure and method of forming same through two-step etching processes
US10079180B1 (en) * 2017-03-14 2018-09-18 United Microelectronics Corp. Method of forming a semiconductor device
US10374058B2 (en) 2017-09-15 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US11462436B2 (en) * 2017-11-30 2022-10-04 Intel Corporation Continuous gate and fin spacer for advanced integrated circuit structure fabrication
US10720526B2 (en) 2018-06-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stress modulation for dielectric layers
US11355339B2 (en) 2018-06-29 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Forming nitrogen-containing layers as oxidation blocking layers

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110014791A1 (en) 2009-02-04 2011-01-20 Globalfoundries Inc. Methods for fabricating finfet structures having different channel lengths
US20170338326A1 (en) 2016-03-24 2017-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Two-Step Dummy Gate Formation

Also Published As

Publication number Publication date
CN113380704A (zh) 2021-09-10
DE102020105664B4 (de) 2022-04-14
US20220181215A1 (en) 2022-06-09
TWI815072B (zh) 2023-09-11
KR102360542B1 (ko) 2022-02-09
US11652003B2 (en) 2023-05-16
US20210265219A1 (en) 2021-08-26
US11264282B2 (en) 2022-03-01
TW202147398A (zh) 2021-12-16
KR20210108853A (ko) 2021-09-03

Similar Documents

Publication Publication Date Title
DE102017103419B4 (de) Halbleitervorrichtung mit getrennter source-drain-struktur und zugehöriges herstellungsverfahren
DE102004060831B4 (de) Verfahren zum Herstellen eines Vertiefungskanal-Arraytransistors unter Verwendung einer Maskenschicht mit einer hohen Ätzselektivität hinsichtlich eines Siliziumsubstrats
DE102005012356B4 (de) PAA-basiertes Ätzmittel und Verfahren, bei denen dieses Ätzmittel verwendet wird
DE102016123943A1 (de) Halbleiterverfahren und -vorrichtungen
DE112006001589T5 (de) Halbleiterbauelementstrukturen und Verfahren zur Bildung von Halbleiterstrukturen
DE102017124145B4 (de) Verfahren zur Ausbildung von Source-/Drain-Epitaxiegebieten von FinFETs
DE102018115204A1 (de) Strukturierungsverfahren für halbleiter-bauelemente und daraus resultierende strukturen
DE102019116582A1 (de) Herstellung einer aussparungsgate-struktur
DE102017121749A1 (de) Gitterfehlangepasste Halbleitersubstrate mit Fehlerverringerung
DE102020119320A1 (de) Halbleitervorrichtung und verfahren
DE102021101467A1 (de) Halbleiterstrukturierung und resultierende strukturen
DE102015117230B4 (de) Verfahren zum Bilden einer Halbleitervorrichtungsstruktur
DE102017125781A1 (de) Verfahren zum Entfernen einer Ätzmaske
DE102016116444B4 (de) Verfahren zur Spacer- und Mandrell-Strukturierung
DE102019121750B4 (de) Metallgatestrukturschneidverfahren und damit hergestellte halbleitervorrichtung
DE102013112137A1 (de) Verfahren zum Verarbeiten eines Dies
DE102014103428A1 (de) Verfahren zum Bearbeiten eines Trägers
DE102017127154A1 (de) Finnenstrukturierung für halbleitervorrichtungen
DE102020105664B4 (de) Gatebildungsprozess
DE102021116076A1 (de) Halbleitervorrichtung und verfahren
DE102020132620A1 (de) Halbleitervorrichtung und Verfahren
DE102021110022A1 (de) Interconnect-struktur für halbleitervorrichtungen
DE102020133746A1 (de) Transistoren mit asymmetrisch angeordneten source/drain-gebieten
DE102018106170A1 (de) Geschnittenes metall-gate mit abgeschrägten seitenwänden
DE102018102448B4 (de) Bildung und Struktur leitfähiger Merkmale

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final