DE102018106170A1 - Geschnittenes metall-gate mit abgeschrägten seitenwänden - Google Patents

Geschnittenes metall-gate mit abgeschrägten seitenwänden Download PDF

Info

Publication number
DE102018106170A1
DE102018106170A1 DE102018106170.6A DE102018106170A DE102018106170A1 DE 102018106170 A1 DE102018106170 A1 DE 102018106170A1 DE 102018106170 A DE102018106170 A DE 102018106170A DE 102018106170 A1 DE102018106170 A1 DE 102018106170A1
Authority
DE
Germany
Prior art keywords
semiconductor device
substrate
distance
gate
fins
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102018106170.6A
Other languages
English (en)
Inventor
Ya-Yi Tsai
Chun-Liang Lai
Shu-Yuan Ku
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/904,835 external-priority patent/US10535654B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018106170A1 publication Critical patent/DE102018106170A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Ein Halbleiter-Bauelement weist Folgendes auf: ein Substrat; eine erste Finne und eine zweite Finne, die aus dem Substrat herausragen; und ein erstes High-k-Metall-Gate (HK-MG) und ein zweites HK-MG, die über der ersten Finne bzw. der zweiten Finne angeordnet sind. In einer Draufsicht sind die erste und die zweite Finne der Länge nach entlang einer ersten Richtung angeordnet, das erste und das zweite HK-MG sind der Länge nach entlang einer zweiten Richtung, die im Wesentlichen senkrecht zu der ersten Richtung ist, angeordnet, und das erste und das zweite HK-MG sind entlang der zweiten Richtung ausgerichtet. In einer Schnittansicht, die entlang der zweiten Richtung geschnitten ist, hat das erste HK-MG eine erste Seitenwand, die von oben nach unten zu dem zweiten HK-MG hin abgeschrägt ist, und das zweite HK-MG hat eine zweite Seitenwand, die von oben nach unten zu dem ersten HK-MG hin abgeschrägt ist. Außerdem werden Verfahren zum Herstellen des Halbleiter-Bauelements offenbart.

Description

  • Prioritätsanspruch
  • Diese Anmeldung beansprucht die Priorität der am 30. August 2017 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 62/551.903 , die durch Bezugnahme aufgenommen ist.
  • Hintergrund
  • Die IC-Branche (IC: integrierter Halbleiter-Schaltkreis) hat ein exponentielles Wachstum erfahren. Technologische Fortschritte bei IC-Materialien und -Entwürfen haben Generationen von ICs hervorgebracht, wobei jede Generation kleinere und komplexere Schaltkreise als die vorhergehende Generation hat. Im Laufe der IC-Evolution hat die Funktionsdichte (d. h. die Anzahl von miteinander verbundenen Bauelementen je Chipfläche) im Allgemeinen zugenommen, während die Strukturgröße (d. h. die kleinste Komponente oder Leitung, die mit einem Herstellungsverfahren erzeugt werden kann) abgenommen hat. Dieser Prozess der Verkleinerung bietet im Allgemeinen Vorteile durch die Erhöhung der Produktionsleistung und die Senkung der entsprechenden Kosten. Diese Verkleinerung hat aber auch die Komplexität der Bearbeitung und Herstellung von ICs erhöht.
  • Ein Fortschritt, der bei kleiner werdenden Technologieknoten realisiert wird, ist bei einigen IC-Entwürfen das Ersetzen des normalerweise verwendeten Polysilizium-Gates durch ein Metall-Gate, um die Bauelementleistung bei den geringeren Strukturgrößen zu verbessern. Ein Verfahren zur Herstellung eines Metall-Gates wird als Ersatz-Gate-Prozess oder „Gate-zuletzt“-Prozess bezeichnet, bei dem das metallische Gate „zuletzt“ hergestellt wird, was eine geringere Anzahl von späteren Prozessen, wie etwa Hochtemperatur-Bearbeitung, ermöglicht, die nach der Herstellung des Gates durchgeführt werden müssen. Es gibt jedoch Probleme bei der Implementierung dieser IC-Herstellungsprozesse, insbesondere bei verkleinerten IC-Strukturelementen bei modernen Prozessknoten, wie etwa N10, N5 und darüber hinaus. Ein Problem besteht darin, auf welche Weise die Metall-Gates nach dem Ersetzen effektiv getrennt werden können.
  • Figurenliste
  • Die vorliegende Erfindung lässt sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind und nur der Erläuterung dienen. Vielmehr können der Klarheit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • 1A zeigt eine Draufsicht einer Halbleiterstruktur, die mit einem Metall-Gate-Schneideprozess implementiert wird, gemäß Aspekten der vorliegenden Erfindung.
    • Die 1B und 1C zeigen Schnittansichten der Struktur von 1A, gemäß einer Ausführungsform.
    • Die 2A und 2B zeigen ein Ablaufdiagramm eines Verfahrens zur Herstellung der Struktur, die in den 1A bis 1C gezeigt ist, gemäß Aspekten der vorliegenden Erfindung.
    • Die 3, 4A, 4B, 5, 6A, 6B, 7A, 7B, 8, 9, 10, 11 und 12 zeigen Schnittansichten einer Halbleiterstruktur bei einem Herstellungsprozess nach dem Verfahren der 2A und 2B, gemäß einer Ausführungsform.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so hergestellt werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements umfassen. Das Bauelement kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Die vorliegende Erfindung betrifft allgemein Halbleiter-Bauelemente und Verfahren zu deren Herstellung und insbesondere die Herstellung von HalbleiterBauelementen unter Verwendung eines Metall-Gate-Schneideprozesses. Ein Metall-Gate-Schneideprozess bezieht sich auf einen Herstellungsprozess, bei dem nach dem Ersetzen einer Dummy-Gate-Struktur (z. B. ein Polysilizium-Gate) durch ein Metall-Gate (z. B. ein High-k-Metall-Gate oder HK-MG) das Metall-Gate (z. B. mit einem Ätzprozess) in zwei oder mehr Teile geschnitten wird. Jeder Teil funktioniert als ein Metall-Gate für einen einzelnen Transistor. Anschließend wird ein Trennmaterial in Gräben zwischen benachbarten Teilen des Metall-Gates gefüllt. Diese Gräben werden in der vorliegenden Erfindung als Geschnittenes-Metall-Gate-Gräben (cut metal gate trenches; CMG-Gräben) bezeichnet. Mit der weiteren Verkleinerung von Bauelementen vergrößert sich im Allgemeinen das Seitenverhältnis der CMG-Gräben (das als das Verhältnis der Höhe eines CMG-Grabens zu seiner Breite definiert wird). Dadurch wird das vollständige Füllen der CMG-Gräben mit dem Trennmaterial erschwert, wenn die CMG-Gräben vertikale Seitenwände haben. Wenn sich ein Spalt oder Hohlraum in diesem Trennmaterial befindet, kann dieser zu Schaltkreisfehlern, wie etwa Kurzschlüssen, führen. Ein Ziel der vorliegenden Erfindung ist es, ein Metall-Gate-Schneideverfahren zu entwickeln, um einen CMG-Graben mit einem sich verjüngenden Profil zu erzeugen, dessen obere Öffnung breiter als dessen untere Öffnung ist. Mit anderen Worten, der so erzeugte CMG-Graben hat abgeschrägte Seitenwände. Dieses sich verjüngende Profil unterstützt das Einfüllen des Trennmaterials in den CMG-Graben zur Vermeidung von Spalten oder Hohlräumen in dem Trennmaterial. Dieses sich verjüngende Profil ist für CMG-Gräben mit einem hohen Seitenverhältnis, wie etwa 5 bis 10, besonders geeignet.
  • 1A zeigt eine Draufsicht eines Halbleiter-Bauelements (oder einer Halbleiterstruktur) 100. 1B zeigt eine Schnittansicht des Bauelements 100 entlang der Linie B - B von 1A. In den 1A und 1B weist das Bauelement 100 Folgendes auf: ein Substrat 102; mehrere Finnen 104, die aus dem Substrat 102 herausragen; eine Trennstruktur 106 über dem Substrat 102 und zwischen den Finnen 104; und mehrere Gate-Stapel 112, die über den Finnen 104 und der Trennstruktur 106 angeordnet sind. Jeder Gate-Stapel 112 weist eine dielektrische High-k-Schicht 108 und eine leitfähige Schicht 110 über der dielektrischen High-k-Schicht 108 auf. Die leitfähige Schicht 110 umfasst eine oder mehrere Schichten aus metallischen Materialien. Daher werden die Gate-Stapel 112 jeweils auch als ein High-k-Metall-Gate (oder HK-MG) 112 bezeichnet. Die Gate-Stapel 112 können weiterhin eine Zwischenschicht (nicht dargestellt) unter der dielektrischen High-k-Schicht 108 aufweisen.
  • Von oben betrachtet, sind die Finnen 104 der Länge nach entlang der x-Richtung angeordnet, und die Gate-Stapel 112 sind der Länge nach entlang der y-Richtung angeordnet, die im Allgemeinen senkrecht zu der x-Richtung ist. Weiterhin sind die Finnen 104 im Allgemeinen parallel zueinander, und die Gate-Stapel 112 sind ebenfalls im Allgemeinen parallel zueinander. Das Bauelement 100 weist weiterhin eine dielektrische Schicht 114 auf, die der Länge nach entlang der x-Richtung angeordnet ist und jeden Teil der Gate-Stapel 112 in mindestens zwei Teile teilt. Jeder Teil der Gate-Stapel 112 ist in Eingriff mit den jeweiligen Finnen 104, sodass einzelne FinFET-Transistoren entstehen. Das Bauelement 100 weist außerdem eine oder mehr dielektrische Schichten 116 auf, die über den Gate-Stapeln 112 und der dielektrischen Schicht 114 angeordnet sind. Nachstehend werden die Komponenten des Bauelements 100 näher beschrieben.
  • Das Substrat 102 ist bei der vorliegenden Ausführungsform ein Siliziumsubstrat. Alternativ kann das Substrat 102 Folgendes aufweisen: einen anderen elementaren Halbleiter, wie etwa Germanium; einen Verbindungshalbleiter, wie etwa Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und Indiumantimonid; einen Legierungshalbleiter, wie etwa Siliziumgermanium, Galliumarsenidphosphid, Aluminiumindiumphosphid, Aluminiumgalliumarsenid, Galliumindiumarsenid, Galliumindiumphosphid und Galliumindiumarsenidphosphid; oder Kombinationen davon.
  • Die Finnen 104 können ein oder mehrere Halbleitermaterialien aufweisen, wie etwa Silizium, Germanium, Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid, Indiumantimonid, Siliziumgermanium, Galliumarsenidphosphid, Aluminiumindiumphosphid, Aluminiumgalliumarsenid, Galliumindiumarsenid, Galliumindiumphosphid und Galliumindiumarsenidphosphid. Bei einer Ausführungsform können die Finnen 104 abwechselnd aufeinander gestapelte Schichten aus zwei unterschiedlichen Halbleitermaterialien umfassen, wie etwa Schichten aus Silizium und Siliziumgermanium, die abwechselnd aufeinander gestapelt sind. Die Finnen 104 können außerdem Dotanden zum Verbessern der Leistung des Bauelements 100 aufweisen. Zum Beispiel können die Finnen 104 n-Dotanden, wie etwa Phosphor oder Arsen, oder p-Dotanden, wie etwa Bor oder Indium, aufweisen.
  • Die Trennstruktur 106 kann Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid, Fluorsilicatglas (FSG), ein dielektrisches Low-k-Material und/oder ein anderes geeignetes Isoliermaterial aufweisen. Die Trennstruktur 106 kann STI-Strukturelemente (STI: flache Grabenisolation) umfassen. Andere Trennstrukturen, wie etwa Feldoxid oder lokale Oxidation von Silizium (LOCOS), und/oder andere geeignete Strukturen sind ebenfalls möglich. Die Trennstruktur 106 kann eine Mehrschichtstruktur sein, die zum Beispiel eine oder mehrere Deckschichten aus thermischem Oxid hat, die zu den Finnen 104 benachbart sind.
  • Die dielektrische High-k-Schicht 108 kann ein oder mehrere dielektrische High-k-Materialien (oder eine oder mehrere Schichten aus dielektrischen High-k-Materialien) aufweisen, wie etwa Hafniumsiliziumoxid (HfSiO), Hafniumoxid (Hf02), Aluminiumoxid (Al2O3), Zirconiumoxid (ZrO2), Lanthanoxid (La2O3), Titanoxid (TiO2), Yttriumoxid (Y2O3) oder Strontiumtitanat (SrTiO3), oder eine Kombination davon.
  • Die leitfähige Schicht 110 kann eine oder mehrere Metallschichten aufweisen, wie etwa Austrittsarbeits-Metallschichten, leitfähige Sperrschichten und Metallfüllschichten. Die Austrittsarbeitsmetallschicht kann in Abhängigkeit von der Art des Bauelements (PFET oder NFET) eine p- oder eine n-Austrittsarbeitsschicht sein. Die p-Austrittsarbeitsschicht weist ein Metall mit einer ausreichend großen effektiven Austrittsarbeit auf, das unter anderem aus der Gruppe Titannidrid (TiN), Tantalnitrid (TaN), Ruthenium (Ru), Molybdän (Mo), Wolfram (W) und Platin (Pt) oder Kombinationen davon gewählt ist. Die n-Austrittsarbeitsschicht weist ein Metall mit einer ausreichend kleinen effektiven Austrittsarbeit auf, das unter anderem aus der Gruppe Titan (Ti), Aluminium (Al), Tantalcarbid (TaC), Tantalcarbidnitrid (TaCN), Tantalsiliziumnitrid (TaSiN) und Titansiliziumnitrid (TiSiN) oder Kombinationen davon gewählt ist. Die Metallfüllschicht kann Aluminium (Al), Wolfram (W), Cobalt (Co) und/oder andere geeignete Materialien aufweisen.
  • Die dielektrische Schicht 114 kann ein oder mehrere dielektrische Materialien aufweisen, wie etwa Siliziumnitrid, Siliziumoxid, Siliziumoxidnitrid, Fluorsilicatglas (FSG), ein dielektrisches Low-k-Material und/oder ein anderes geeignetes Isoliermaterial. Insbesondere weist der Teil der dielektrischen Schicht 114, der in physischem Kontakt mit den Gate-Stapeln 112 ist, ein dielektrisches Material auf, das nicht mit den metallischen Materialien des Gate-Stapels 112 reagiert. Dieser Teil der dielektrischen Schicht 114 weist bei einer Ausführungsform zum Beispiel Siliziumnitrid auf.
  • Die dielektrische Schicht 116 kann ein oder mehrere dielektrische Materialien aufweisen, wie etwa Siliziumnitrid, Siliziumoxid, Siliziumoxidnitrid, Fluorsilicatglas (FSG), ein dielektrisches Low-k-Material und/oder ein anderes geeignetes Isoliermaterial.
  • Das Bauelement 100 wird nun unter Bezugnahme auf 1C näher erläutert. In 1C sind die dielektrischen Schichten 114 und 116 weggelassen, um die Einzelheiten eines Geschnittenes-Metall-Gate-Grabens (CMG-Grabens) 113 darzustellen. In dieser Schnittansicht trennt der CMG-Graben 113 einen Gate-Stapel 112 in einen linken und einen rechten Teil 112L und 112R. Der linke Teil 112L ist in Eingriff mit zwei Finnen 104, sodass ein Transistor entsteht, und der rechte Teil 112R ist in Eingriff mit zwei anderen Finnen 104, sodass ein weiterer Transistor entsteht. Bei verschiedenen Ausführungsformen kann der linke (oder der rechte) Teil in Eingriff mit jeder Anzahl von Finnen 104 sein, sodass ein Transistor entsteht. Der linke Teil 112L hat eine Seitenwand SW1, die von oben nach unten zu dem rechten Teil 112R hin abgeschrägt ist. Die Seitenwand SW1 bildet einen Winkel θ1 mit der z-Richtung, einer Normalen des Substrats 102. Der rechte Teil 112R hat eine Seitenwand SW2, die von oben nach unten zu dem linken Teil 112L hin abgeschrägt ist. Die Seitenwand SW2 bildet einen Winkel θ2 mit der z-Richtung. Der CMG-Graben 113 reicht in die Trennstruktur 106 hinein, um zu gewährleisten, dass der linke und der rechte Teil des Gate-Stapels 112 vollständig voneinander getrennt sind. Der Gate-Stapel 112 hat eine Höhe H1 entlang der z-Richtung, die von der Grenzfläche zwischen dem Gate-Stapel 112 und der Trennstruktur 106 bis zu der Oberseite des Gate-Stapels 112 gemessen wird. Der CMG-Graben 113 hat eine obere Öffnung an der Oberseite des Gate-Stapels 112 und eine untere Öffnung an der Grenzfläche zwischen dem Gate-Stapel 112 und der Trennstruktur 106. Die obere Öffnung hat eine Breite W1, und die untere Öffnung hat eine Breite W2. Ein Seitenverhältnis des CMG-Grabens 113 wird als H1/W1 definiert.
  • Bei einer Ausführungsform kann die Höhe H1 80 bis 140 nm betragen, und die Breite W1 der oberen Öffnung kann 16 bis 30 nm betragen. Das Seitenverhältnis des CMG-Grabens 113 kann 3 bis 10, z. B. 4 bis 8, oder 5 bis 7, betragen. Wenn bei dem hohen Seitenverhältnis der CMG-Graben 113 vertikale Seitenwände (die typischerweise durch Trockenätzung einer Metallschicht entstehen) hätte, wäre es schwierig, die dielektrische Schicht 114 vollständig in den CMG-Graben 113 einzufüllen, da mit bestehenden Abscheidungsverfahren normalerweise keine gute Abscheidung am Boden eines tiefen und schmalen Grabens möglich ist. Bei der vorliegenden Ausführungsform ist der CMG-Graben 113 so konzipiert und ausgeführt, dass er ein sich verjüngendes Profil hat, bei dem W1 > W2 ist. Versuche haben gezeigt, dass dieses sich verjüngende Profil das Einfüllen der dielektrischen Schicht 114 in den CMG-Graben 113 verbessert.
  • Bei verschiedenen Ausführungsformen sind die Winkel θ1 und θ2 jeweils größer als 0° (und bilden somit „abgeschrägte“ Seitenwände) und kleiner als tan 1 ( 1 2 × AR ) ,
    Figure DE102018106170A1_0001
    wobei AR das Seitenverhältnis des CMG-Grabens 113 ist, das bei der vorliegenden Ausführungsform gleich H1/W1 ist. Wenn beide Winkel θ1 und θ2 gleich tan 1 ( 1 2 × AR )
    Figure DE102018106170A1_0002
    sind, erreicht der CMG-Graben 113 gerade noch die Trennstruktur 106. Um die Trennung zwischen dem linken und dem rechten Teil des Gate-Stapels 112 zu gewährleisten, sind beide Winkel θ1 und θ2 so konzipiert, dass sie kleiner als tan 1 ( 1 2 × AR )
    Figure DE102018106170A1_0003
    sind. Bei einer Ausführungsform ist der CMG-Graben 113 so konzipiert, dass die Winkel θ1 und θ2 jeweils 1° bis 10°, z. B. 1,5° bis 7°, oder 2° bis 5°, betragen. Es ist herausgefunden worden, dass diese Seitenwandwinkel zum Einfüllen des dielektrischen Materials 114 in den CMG-Graben 113 mit einem hohen Seitenverhältnis zweckmäßig und effektiv sind. Bei einigen Ausführungsformen können die zwei Winkel θ1 und θ2 gleichgroß sein oder auch nicht.
  • Bei einigen Ausführungsformen ist das Verhältnis W2/W1 so konzipiert, dass es 0,4 bis 0,8, z. B. 0,5 bis 0,7, beträgt, um zu gewährleisten, dass die dielektrische Schicht 114 (1B) den linken und den rechten Teil des Gate-Stapels 112 vollständig trennt, und um zu gewährleisten, dass die Seitenwandwinkel θ1 und θ2 groß genug sind, um die Schichtabscheidung zu erleichtern. In der Praxis können die Seitenwandwinkel θ1 und θ2 von den Werten W1, W2 und H1 abgeleitet werden.
  • Die 2A und 2B zeigen ein Ablaufdiagramm eines Verfahrens 200 zur Herstellung des Halbleiter-Bauelements 100 gemäß einer Ausführungsform. Das Verfahren 200 ist lediglich ein Beispiel und soll die vorliegende Erfindung nicht über das hinaus beschränken, was explizit in den Ansprüchen dargelegt ist. Weitere Schritte können vor, während und nach dem Verfahren 200 vorgesehen werden, und einige beschriebene Schritte können bei weiteren Ausführungsformen des Verfahrens ersetzt, weggelassen oder verschoben werden. Das Verfahren 200 wird nachstehend unter Bezugnahme auf die 3 bis 12 beschrieben, die verschiedene Schnittansichten des Halbleiter-Bauelements 100 auf Herstellungsstufen des Verfahrens 200 zeigen.
  • Im Schritt 202 wird bei dem Verfahren 200 (2A) eine Bauelementstruktur 100 mit einem Substrat 102, Finnen 104, die aus dem Substrat 102 herausragen, und einer Trennstruktur 106 über dem Substrat 102 und zwischen den Finnen 104 bereitgestellt, wie in 3 gezeigt ist. Die verschiedenen Materialien für das Substrat 102, die Finnen 104 und die Trennstruktur 106 sind vorstehend unter Bezugnahme auf die 1A bis 1C erörtert worden.
  • Bei einer Ausführungsform kann das Substrat 102 ein Wafer, wie etwa ein Siliziumwafer, sein. Die Finnen 104 können dadurch hergestellt werden, dass eine oder mehrere Halbleiterschichten über dem gesamten Bereich des Substrats 102 epitaxial aufgewachsen werden und dann strukturiert werden, sodass die einzelnen Finnen 104 entstehen. Die Finnen 104 können mit jedem geeigneten Verfahren strukturiert werden. Zum Beispiel können die Finnen 104 mit einem oder mehreren fotolithografischen Prozessen, wie etwa Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen, strukturiert werden. Im Allgemeinen vereinen Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse fotolithografische und selbstjustierte Prozesse, mit denen Strukturen erzeugt werden können, die zum Beispiel Rasterabstände haben, die kleiner als die sind, die andernfalls mit einem einzelnen direkten fotolithografischen Prozess erzielt werden können. Zum Beispiel wird bei einer Ausführungsform eine Opferschicht über einem Substrat hergestellt, die dann mit einem fotolithografischen Prozess strukturiert wird. Entlang der strukturierten Opferschicht werden mit einem selbstjustierten Prozess Abstandshalter hergestellt. Dann wird die Opferschicht entfernt, und die verbliebenen Abstandshalter, oder Dorne, können dann zum Strukturieren der Finnen 104 durch Ätzen der ersten epitaxialen Halbleiterschichten verwendet werden. Der Ätzprozess kann Trockenätzung, Nassätzung, reaktive Ionenätzung (RIE) und/oder andere geeignete Prozesse umfassen. Für den Trockenätzprozess können sauerstoffhaltige Gase, fluorhaltige Gase (z. B. CF4, SF6, CH2F2, CHF3 und/oder C2F6), chlorhaltige Gase (z. B. Cl2, CHCl3, CCl4 und/oder BCl3), bromhaltige Gase (z. B. HBr und/oder CHBr3), iodhaltige Gase, andere geeignete Gase und/oder Plasmen und/oder Kombinationen davon verwendet werden. Der Nassätzprozess kann zum Beispiel eine Ätzung in verdünnter Fluorwasserstoffsäure (DHF); Kaliumhydroxid(KOH)-Lösung; Ammoniak; einer Lösung, die Fluorwasserstoffsäure (HF), Salpetersäure (HNO3) und/oder Essigsäure (CH3COOH) enthält; oder in einem anderen geeigneten Nassätzmittel umfassen.
  • Die Trennstruktur 106 kann mit einem oder mehreren Abscheidungs- und Ätzverfahren hergestellt werden. Die Abscheidungsverfahren können thermische Oxidation, chemische Oxidation und chemische Aufdampfung (CVD), wie etwa fließfähige CVD (FCVD), umfassen. Die Ätzverfahren können Trockenätzung, Nassätzung und chemisch-mechanische Planarisierung (CMP) umfassen.
  • Im Schritt 204 werden bei dem Verfahren 200 (2A) Dummy-Gate-Strukturen (oder temporäre Gate-Strukturen) hergestellt, die in Eingriff mit den Finnen 104 sind, wie in den 4A und 4B gezeigt ist. 4A zeigt eine Schnittansicht des Bauelements 100, das entlang der Linie 1 - 1 von 1A geschnitten ist, während 4B eine Schnittansicht des Bauelements 100 zeigt, das entlang der Linie B - B von 1A geschnitten ist. In den 4A und 4B umfasst die Dummy-Gate-Struktur eine Zwischenschicht 150, eine Elektrodenschicht 152 und zwei Hartmaskenschichten 154 und 156. In dem Schritt 204 werden außerdem Gate-Abstandshalter 160 auf Seitenwänden der Dummy-Gate-Struktur hergestellt.
  • Die Zwischenschicht 150 kann ein dielektrisches Material, wie etwa Siliziumoxid (z. B. SiO2) oder Siliziumoxidnitrid (z. B. SiON), aufweisen, und sie kann durch chemische Oxidation, thermische Oxidation, Atomlagenabscheidung (ALD), CVD und/oder mit anderen geeigneten Verfahren hergestellt werden. Die Gate-Elektrode 152 kann polykristallines Silizium (Poly-Si) aufweisen und kann mit geeigneten Abscheidungsverfahren wie chemische Aufdampfung bei Tiefdruck (LPCVD) und plasmaunterstützte chemische Aufdampfung (PECVD) hergestellt werden. Die Hartmaskenschichten 154 und 156 können jeweils eine oder mehrere Schichten aus einem dielektrischen Material, wie etwa Siliziumoxid und/oder Siliziumnitrid, umfassen und können durch CVD oder mit anderen geeigneten Verfahren hergestellt werden. Die verschiedenen Schichten 150, 152, 154 und 156 können mit fotolithografischen und Ätzprozessen strukturiert werden. Die Gate-Abstandshalter 160 können ein dielektrisches Material, wie etwa Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid und Siliziumcarbid, oder andere dielektrische Materialien oder Kombinationen davon aufweisen und können eine oder mehrere Materialschichten umfassen. Die Gate-Abstandshalter 160 können durch Abscheiden eines Abstandshaltermaterials als ein Schutz über der Trennstruktur 106, den Finnen 104 und der Dummy-Gate-Struktur 150/152/154/156 hergestellt werden. Dann wird das Abstandshaltermaterial durch anisotrope Ätzung geätzt, um die Trennstruktur 106, die Hartmaskenschicht 156 und eine Oberseite der Finnen 104 freizulegen. Teile des Abstandshaltermaterials auf den Seitenwänden der Dummy-Gate-Struktur 150/152/154/156 werden zu den Gate-Abstandshaltern 160. Benachbarte Gate-Abstandshalter 160 stellen Gräben 158 bereit, die die Finnen 104 in den S/D-Bereichen des Bauelements 100 freilegen.
  • Im Schritt 206 werden bei dem Verfahren 200 (2A) verschiedene Strukturelemente hergestellt, wie etwa Source-/Drain(S/D)-Elemente 162, eine Kontakt-Ätzstoppschicht (CESL) 164, eine Zwischenschichtdielektrikum-Schicht (ILD-Schicht) 166 und eine dielektrische Schutzschicht 168 über der ILD-Schicht 166, wie in 5 gezeigt ist, die eine Schnittansicht des Bauelements 100 entlang der Linie 1 - 1 von 1A ist. Zum Beispiel können in dem Schritt 206 Aussparungen in die Finnen 104 geätzt werden, die in den Gräben 158 freiliegen, und in den Aussparungen können Halbleitermaterialien epitaxial aufgewachsen werden. Die Halbleitermaterialien können über die Oberseite der Finnen 104 überstehen, wie in 5 gezeigt ist. In dem Schritt 206 können die S/D-Elemente 162 getrennt für NFET- und PFET-Bauelemente hergestellt werden. Zum Beispiel können in dem Schritt 206 die S/D-Elemente 162 mit n-dotiertem Silizium für NFET-Bauelemente oder mit p-dotiertem Siliziumgermanium für PFET-Bauelemente hergestellt werden. Anschließend können in dem Schritt 206 die CESL 164 und die ILD-Schicht 166 über den S/D-Elementen 162 abgeschieden werden. Die CESL 164 kann Siliziumnitrid, Siliziumoxidnitrid, Siliziumnitrid mit den Elementen Sauerstoff (O) oder Kohlenstoff (C) und/oder andere Materialien aufweisen und kann durch CVD, PVD (physikalische Aufdampfung), ALD oder mit anderen geeigneten Verfahren hergestellt werden. Die ILD-Schicht 166 kann Tetraethylorthosilicat(TEOS)-Oxid, undotiertes Silicatglas oder dotiertes Siliziumoxid, wie etwa Borphosphorsilicatglas (BPSG), Kieselglas (FSG), Phosphorsilicatglas (PSG) und Borsilicatglas (BSG), und/oder andere geeignete dielektrische Materialien aufweisen. Die ILD-Schicht 166 kann durch PECVD, FCVD oder mit anderen geeigneten Verfahren hergestellt werden. Anschließend kann in dem Schritt 206 die ILD-Schicht 166 rückgeätzt werden, und die dielektrische Schutzschicht 168 kann abgeschieden werden, die ein Nitrid, wie etwa Siliziumnitrid, zum Schützen der ILD-Schicht 166 bei späteren Ätzprozessen aufweisen kann. In dem Schritt 206 werden ein oder mehrere CMP-Prozesse zum Planarisieren der Oberseite des Bauelements 100, zum Entfernen der Hartmaskenschichten 154 und 156 und zum Freilegen der Elektrodenschicht 152 durchgeführt.
  • Im Schritt 208 werden bei dem Verfahren 200 (2A) die Dummy-Gate-Strukturen entfernt, um Gate-Gräben 169 herzustellen, wie in den 6A und 6B gezeigt ist, die Schnittansichten des Bauelements 100 entlang der Linie 1 - 1 bzw. der Linie B - B von 1A sind. Die Gate-Gräben 169 legen Oberflächen der Finnen 104 und Seitenwandflächen der Gate-Abstandshalter 160 frei. Der Schritt 208 kann ein oder mehrere Ätzprozesse umfassen, die für das Material der Elektrodenschicht 152 und der Zwischenschicht 150 selektiv sind. Die Ätzprozesse können Trockenätzung, Nassätzung, reaktive Ionenätzung oder andere geeignete Ätzprozesse umfassen.
  • Im Schritt 210 werden bei dem Verfahren 200 (2A) High-k-Metall-Gates 112 in den Gräben 158 abgeschieden, wie in den 7A und 7B gezeigt ist, die Schnittansichten des Bauelements 100 entlang der Linie 1 -1 bzw. der Linie B - B von 1A sind. Die High-k-Metall-Gates 112 weisen die dielektrische High-k-Schicht 108 und die leitfähige Schicht 110 auf. Die High-k-Metall-Gates 112 können außerdem eine Zwischenschicht (z. B. SiO2) (nicht dargestellt) zwischen der dielektrischen High-k-Schicht 108 und den Finnen 104 aufweisen. Die Zwischenschicht kann durch chemische Oxidation, thermische Oxidation, Atomlagenabscheidung (ALD), chemische Aufdampfung (CVD) und/oder mit anderen geeigneten Verfahren hergestellt werden. Die Materialien für die High-k-Schicht 108 und die leitfähige Schicht 110 sind vorstehend unter Bezugnahme auf die 1A bis 1C erörtert worden. Die dielektrische High-k-Schicht 108 kann eine oder mehrere Schichten aus einem dielektrischen High-k-Material umfassen und kann durch CVD, ALD und/oder mit anderen geeigneten Verfahren abgeschieden werden. Die leitfähige Schicht 110 kann eine oder mehrere Austrittsarbeitsmetallschichten und eine Metallfüllschicht aufweisen und kann mit Verfahren wie CVD, PVD, Plattierung und/oder mit anderen geeigneten Verfahren abgeschieden werden.
  • Im Schritt 212 werden bei dem Verfahren 200 (2B) eine oder mehrere Hartmaskenschichten über dem Bauelement 100 hergestellt, wie in 8 gezeigt ist, die eine Schnittansicht des Bauelements 100 entlang der Linie B - B von 1A auf dieser Herstellungsstufe ist. In diesem Beispiel sind zwei Hartmaskenschichten 170 und 172 dargestellt. Bei einer Ausführungsform weist die Hartmaskenschicht 170 Titannidrid auf, während die Hartmaskenschicht 172 Siliziumnitrid aufweist. Bei der vorliegenden Ausführungsform wird das Material für die Hartmaskenschicht 170 so gewählt, dass es eine gute Haftung an der leitfähigen Schicht 110 hat, aber nicht mit ihr reagieren kann. Die Hartmaskenschichten 170 und 172 können durch CVD, PVD, ALD oder mit anderen geeigneten Verfahren abgeschieden werden.
  • Im Schritt 214 werden bei dem Verfahren 200 (2B) die eine oder die mehreren Hartmaskenschichten strukturiert, um eine strukturierte Hartmaske herzustellen, wie in 9 gezeigt ist, die eine Schnittansicht des Bauelements 100 entlang der Linie B - B von 1A auf dieser Herstellungsstufe ist. In 9 werden die Hartmaskenschichten 170 und 172 strukturiert (z. B. geätzt), um eine Öffnung 113 herzustellen, die die leitfähige Schicht 110 freilegt. In einem Beispiel kann in dem Schritt 214 ein strukturiertes Fotoresist über den Hartmaskenschichten 170 und 172 durch Fotoresistbeschichtung, Belichtung, Härtung nach der Belichtung und Entwicklung hergestellt werden. Das strukturierte Fotoresist entspricht den Strukturen der dielektrischen Schicht 114 in 1A. Anschließend werden in dem Schritt 214 die Hartmaskenschichten 170 und 172 unter Verwendung des strukturierten Fotoresists als eine Ätzmaske geätzt, um die Öffnung 113 herzustellen. Das Ätzverfahren kann Nassätzung, Trockenätzung, reaktive Ionenätzung oder andere geeignete Ätzverfahren umfassen. Anschließend kann das strukturierte Fotoresist zum Beispiel durch Resistablösung entfernt werden. Es ist zu beachten, dass die Seitenwände der Öffnung 113 an den Hartmaskenschichten 170 und 172 vertikal oder nahezu vertikal sind.
  • Im Schritt 216 wird bei dem Verfahren 200 (2B) das High-k-Metall-Gate 112 durch die Öffnung 113 geätzt. Die strukturierten Hartmaskenschichten 170 und 172 schützen das übrige High-k-Metall-Gate 112 vor dem Ätzprozess. In 10 wird im Schritt 216 die Öffnung 113 nach unten, durch das High-k-Metall-Gate 112 und in die Trennstruktur 106 hinein verlängert. Insbesondere wird im Schritt 216 der Ätzprozess so gesteuert, dass abgeschrägte Seitenwände SW1 und SW2 entstehen, die vorstehend unter Bezugnahme auf 1C erörtert worden sind. Für den Ätzprozess können ein oder mehrere Ätzmittel oder ein Gemisch von Ätzmitteln verwendet werden, die die verschiedenen Schichten in dem High-k-Metall-Gate 112 ätzen.
  • Bei einer beispielhaften Ausführungsform weist die leitfähige Schicht 110 TiSiN, TaN, TiN, W oder eine Kombination davon auf. Zum Ätzen dieser leitfähigen Schicht und der dielektrischen High-k-Schicht 108 kann in dem Schritt 216 ein Trockenätzprozess mit einem Ätzmittel verwendet werden, das Chlor-, Fluor-, Brom-, Sauerstoff-, Wasserstoff- oder Kohlenstoff-Atome oder eine Kombination davon aufweist. Zum Beispiel können für das Ätzmittel ein Gasgemisch aus Cl2, 02, einem kohlenstoff- und fluorhaltigen Gas, einem brom- und fluorhaltigen Gas und einem Gas, das Kohlenstoff, Wasserstoff und Fluor enthält, verwendet werden. Die Ätzung des High-k-Metall-Gates 112 mit einem solchen Gasgemisch ist darauf gerichtet, Polymere (oder polymere Stoffe) zu bilden, die während der Ätzung auf den Seitenwänden des CMG-Grabens 113 abgeschieden werden. Diese Polymere verlangsamen die Ätzung zu den Seitenwänden hin, sodass die abgeschrägten Seitenwände SW1 und SW2 entstehen. In einem Beispiel umfasst das Ätzmittel ein Gasgemisch aus Cl2, O2, CF4, BCl3 und CHF3. Durch Einstellen der Menge von BCl3 in dem Gasgemisch kann in dem Schritt 216 die Menge von BOxNy-Polymer, das auf der Seitenwand des CMG-Grabens 113 abgeschieden wird, gesteuert werden, sodass die Neigung der Seitenwände SW1 und SW2 gesteuert werden kann. Zum Beispiel kann in dem Schritt 216 der Anteil von BCl3 in dem Gasgemisch so gesteuert werden, dass die Menge des abgeschiedenen BOxNy-Polymers steigt. Alternativ oder zusätzlich kann in dem Schritt 216 durch Einstellen der Menge von CHF3 in dem Gasgemisch die Menge von TiFx- und Nfx-Polymeren, die auf der Seitenwand des CMG-Grabens 113 abgeschieden werden, gesteuert werden, sodass die Neigung der Seitenwände SW1 und SW2 gesteuert werden kann. Zum Beispiel kann in dem Schritt 216 der Anteil von CHF3 in dem Gasgemisch erhöht werden, um die Menge der abgeschiedenen TiFx- und Nfx-Polymere zu erhöhen. Weiterhin kann in dem Schritt 216 das Verhältnis von Kohlenstoff zu Fluor in dem Gasgemisch eingestellt werden, um die Menge von CxFy-Polymer zu steuern, das auf den Seitenwänden SW1 und SW2 abgeschieden wird. Zum Beispiel kann in dem Schritt 216 CF4 durch CxFy ersetzt werden, wobei x : y größer als 1 : 4 (z. B. C4F6) ist, um den Anteil des abgeschiedenen CxFy-Polymers zu erhöhen. Die vorstehend erörterten Ätzmittel und der Ätzprozess können für verschiedene Materialien verwendet werden, die für die leitfähige Schicht 110 und die dielektrische High-k-Schicht 108 geeignet sind, und sie sind nicht auf die vorgenannten beispielhaften Materialien TiSiN, TaN, TiN und W beschränkt.
  • Außerdem kann in dem Schritt 216 zusätzlich oder alternativ zur Steuerung der vorgenannten Ätzmittel auch eine Steuerung der Ätzvorspannung erfolgen. Eine höhere Ätzvorspannung (entlang der z-Richtung) ist darauf gerichtet, stärker vertikale Seitenwände in dem CMG-Graben 113 zu erzeugen, während eine niedrigere Ätzvorspannung den nach unten gerichteten Ionenbeschuss durch die Ätzmittel reduziert, sodass abgeschrägte Seitenwände entstehen. Bei der vorliegenden Ausführungsform kann in dem Schritt 216 ein Ätzvorspannung in dem Bereich von 50 bis 100 V angelegt werden. Außerdem kann bei der vorliegenden Ausführungsform in dem Schritt 216 der Ätzprozess bei einem Druck von 5 bis 20 mTorr, einer Energie von 100 bis 200 W und einer Temperatur von 75 bis 125 °C durchgeführt werden. Es sind auch andere Werte für die Ätzvorspannung, den Ätzdruck, die Ätzenergie und die Ätztemperatur möglich. Um die Trennung zwischen dem linken und dem rechten Teil des Gate-Stapels 112 zu gewährleisten, wird in dem Schritt 216 eine gewisse Überätzung durchgeführt, um den CMG-Graben 113 in die Trennstruktur 106 hinein zu verlängern. Diese Überätzung wird sorgfältig so kontrolliert, dass das Substrat 102 nicht freigelegt wird.
  • Im Schritt 218 wird bei dem Verfahren 200 (2B) der CMG-Graben 113 mit einem oder mehreren dielektrischen Materialien gefüllt, um die dielektrische Schicht 114 herzustellen, wie in 11 gezeigt ist. Da die Seitenwände (SW1 und SW2 von 10) der Gate-Stapel 112 metallische Materialien enthalten, ist zumindest der äußere Teil der dielektrischen Schicht 114 (der in direktem Kontakt mit den Seitenwänden SW1 und SW2 ist) frei von aktiven chemischen Komponenten, wie etwa Sauerstoff. Bei der vorliegenden Ausführungsform weist der äußere Teil der dielektrischen Schicht 114 Siliziumnitrid auf, und er ist frei von Sauerstoff oder Oxid. Es ist zu beachten, dass eine gewisse Menge Sauerstoff in einige Teile der dielektrischen Schicht 114 eindiffundieren kann, da die dielektrische High-k-Schicht 108 Sauerstoff aufweist. Dieser eindiffundierte Sauerstoff ist jedoch im Allgemeinen auf die unteren Teile der dielektrischen Schicht 114 beschränkt. Die dielektrische Schicht 114 kann bei einigen Ausführungsformen etwas Oxid in ihrem inneren Teil aufweisen. Alternativ kann die dielektrische Schicht 114 eine einheitliche Schicht aus Siliziumnitrid sein, die frei von Oxid ist. Die dielektrische Schicht 114 kann durch CVD, PVD, ALD oder mit anderen geeigneten Verfahren abgeschieden werden. Bei der vorliegenden Ausführungsform wird die dielektrische Schicht 114 durch ALD abgeschieden, um zu gewährleisten, dass sie den CMG-Graben 113 vollständig füllt.
  • Im Schritt 220 werden bei dem Verfahren 200 (2B) ein oder mehrere CMP-Prozesse durchgeführt, um die überschüssige dielektrische Schicht 114 (den Teil außerhalb des CMG-Grabens 113) und die Hartmaskenschichten 170 und 172 zu entfernen. Bei einer Ausführungsform dient die Hartmaskenschicht 170 als ein CMP-Stopp, wenn die Hartmaskenschicht 172 mit einem CMP-Prozess entfernt wird. Bei einer anderen Ausführungsform kann in dem Schritt 220 die leitfähige Schicht 110 (sowie die dielektrische Schicht 114) auf eine gewünschte HK-MG-Höhe ausgespart werden. Die resultierende Struktur ist in 12 gezeigt.
  • Im Schritt 222 werden bei dem Verfahren 200 (2B) weitere Schritte ausgeführt, um die Herstellung des Bauelements 100 fertigzustellen. Zum Beispiel können bei dem Verfahren 200 Kontakte und Durchkontaktierungen hergestellt werden, die die S/D-Elemente 162 (6A) mit den Gate-Stapeln 112 elektrisch verbinden, und es können Metallverbindungen hergestellt werden, die verschiedene Transistoren zu einem vollständigen IC verbinden.
  • Eine oder mehrere Ausführungsformen der vorliegenden Erfindung, die jedoch nicht beschränkend sein sollen, bieten zahlreiche Vorzüge für ein Halbleiter-Bauelement und dessen Herstellung. Zum Beispiel stellen Ausführungsformen der vorliegenden Erfindung Geschnittenes-Metall-Gate-Gräben mit abgeschrägten Seitenwänden bereit. Die abgeschrägten Seitenwände verbessern das Einfüllen von dielektrischen Materialien in die Gräben, die ein hohes Seitenverhältnis haben können. Das ist für Bauelemente mit kleinen Abmessungen besonders zweckmäßig. Außerdem können Ausführungsformen der vorliegenden Erfindung problemlos in bestehende Halbleiter-Herstellungsprozesse integriert werden.
  • Bei einem beispielhaften Aspekt ist die vorliegende Erfindung auf ein Halbleiter-Bauelement gerichtet. Das Bauelement weist ein Substrat; eine erste Finne und eine zweite Finne, die aus dem Substrat herausragen; und ein erstes High-k-Metall-Gate (HK-MG) und ein zweites HK-MG auf, wobei das erste HK-MG über der ersten Finne angeordnet ist und das zweite HK-MG über der zweiten Finne angeordnet ist. Von oben betrachtet, sind die erste und die zweite Finne der Länge nach entlang einer ersten Richtung angeordnet, das erste und das zweite HK-MG sind der Länge nach entlang einer zweiten Richtung, die im Großen und Ganzen senkrecht zu der ersten Richtung ist, angeordnet, und das erste und das zweite HK-MG sind entlang der zweiten Richtung ausgerichtet. In einer Schnittansicht, die entlang der zweiten Richtung geschnitten ist, hat das erste HK-MG eine erste Seitenwand, die von oben nach unten zu dem zweiten HK-MG hin abgeschrägt ist, und das zweite HK-MG hat eine zweite Seitenwand, die von oben nach unten zu dem ersten HK-MG hin abgeschrägt ist.
  • Bei einer Ausführungsform des Bauelements bildet die erste Seitenwand einen ersten Winkel mit einer Normalen des Substrats, wobei der erste Winkel in dem Bereich von 1 bis 10 Grad liegt. Bei einer weiteren Ausführungsform liegt der erste Winkel in dem Bereich von 2 bis 5 Grad. Bei einer anderen Ausführungsform bildet die zweite Seitenwand einen zweiten Winkel mit der Normalen des Substrats, wobei der zweite Winkel in dem Bereich von 1 bis 10 Grad liegt. Der zweite Winkel liegt zum Beispiel in dem Bereich von 2 bis 5 Grad.
  • Bei einer Ausführungsform weist das Bauelement weiterhin ein dielektrisches Material seitlich zwischen der ersten und der zweiten Seitenwand auf. Bei einer weiteren Ausführungsform füllt das dielektrische Material einen Zwischenraum zwischen der ersten und der zweiten Seitenwand vollständig aus.
  • Bei einer weiteren Ausführungsform wird ein erster Abstand zwischen den jeweiligen oberen Teilen der ersten und der zweiten Seitenwand gemessen, ein zweiter Abstand wird zwischen den jeweiligen unteren Teilen der ersten und der zweiten Seitenwand gemessen, und ein Verhältnis des zweiten Abstands zu dem ersten Abstand liegt in dem Bereich von 0,4 bis 0,8. Bei einer weiteren Ausführungsform liegt das Verhältnis des zweiten Abstands zu dem ersten Abstand in dem Bereich von 0,5 bis 0,7.
  • Bei einer Ausführungsform des Bauelements liegt ein Verhältnis einer Höhe des ersten HK-MG zu einem Abstand zwischen den jeweiligen oberen Teilen der ersten und der zweiten Seitenwand in dem Bereich von 3 bis 10. Das Verhältnis beträgt zum Beispiel 5 bis 7. Bei einer noch weiteren Ausführungsform weist das Bauelement weiterhin eine Trennstruktur zwischen der ersten und der zweiten Finne und unter dem ersten und dem zweiten HK-MG auf.
  • Bei einem weiteren beispielhaften Aspekt ist die vorliegende Erfindung auf ein Halbleiter-Bauelement gerichtet. Das Bauelement weist Folgendes auf: ein Substrat; eine erste Finne und eine zweite Finne, die aus dem Substrat herausragen; eine dritte und eine vierte Finne, die aus dem Substrat herausragen; ein erstes High-k-Metall-Gate (HK-MG), das über der ersten und der zweiten Finne angeordnet ist; und ein zweites HK-MG, das über der dritten und der vierten Finne angeordnet ist. Von oben betrachtet, sind die erste, zweite, dritte und vierte Finne der Länge nach entlang einer ersten Richtung angeordnet, und das erste und das zweite HK-MG sind der Länge nach entlang einer zweiten Richtung ausgerichtet, die im Großen und Ganzen senkrecht zu der ersten Richtung ist. In einer Schnittansicht, die entlang der zweiten Richtung geschnitten ist, hat das erste HK-MG eine erste Seitenwand, das zweite HK-MG hat eine zweite Seitenwand gegenüber der ersten Seitenwand, und die erste und die zweite Seitenwand bilden zwei Winkel mit einer Normalen des Substrats, wobei jeder Winkel kleiner als tan 1 ( 1 2 × AR )
    Figure DE102018106170A1_0004
    ist, wobei AR ein Verhältnis einer Höhe des ersten HK-MG zu einem Abstand zwischen den jeweiligen oberen Teilen der ersten und der zweiten Seitenwand ist. Ein Zwischenraum zwischen der ersten und der zweiten Seitenwand ist vollständig mit einem oder mehreren dielektrischen Materialien ausgefüllt. Bei einigen Ausführungsformen beträgt jeder der Winkel 1,5 bis 7 Grad.
  • Bei einer Ausführungsform des Bauelements wird in der Schnittansicht ein erster Abstand zwischen den jeweiligen oberen Teilen der ersten und der zweiten Seitenwand gemessen, ein zweiter Abstand wird zwischen den jeweiligen unteren Teilen der ersten und der zweiten Seitenwand gemessen, und ein Verhältnis des zweiten Abstands zu dem ersten Abstand liegt in dem Bereich von 0,4 bis 0,8. Bei einer weiteren Ausführungsform des Bauelements liegt AR in dem Bereich von 4 bis 8.
  • Bei einem noch weiteren Aspekt ist die vorliegende Erfindung auf ein Verfahren gerichtet. Das Verfahren umfasst das Bereitstellen einer Struktur mit einem Substrat, Finnen, die aus dem Substrat herausragen, und einer Trennstruktur über dem Substrat und zwischen benachbarten Finnen, wobei die Trennstruktur niedriger als die Finnen ist. Das Verfahren umfasst weiterhin Folgendes: Herstellen eines Gates über der Trennstruktur und den Finnen, wobei das Gate eine dielektrische High-k-Schicht und eine leitfähige Schicht aufweist; und Durchführen eines Ätzprozesses an dem Gate zwischen zwei benachbarten Finnen, um die Trennstruktur freizulegen, sodass das Gate in mindestens einen ersten Teil und einen zweiten Teil getrennt wird. Der Ätzprozess wird so gesteuert, dass eine erste Seitenwand des ersten Teils und eine gegenüberliegende zweite Seitenwand des zweiten Teils entstehen, wobei die erste und die zweite Seitenwand eine obere Öffnung bereitstellen, die breiter als eine untere Öffnung ist.
  • Bei einer Ausführungsform des Verfahrens umfasst der Ätzprozess eine Trockenätzung mit einer Vorspannung in dem Bereich von 50 bis 100 V. Bei einer Ausführungsform des Verfahrens weist die leitfähige Schicht Wolfram (W) auf, und für den Ätzprozess wird ein Gasgemisch verwendet, das CxFy enthält, wobei x : y größer als 1 : 4 ist.
  • Bei einer Ausführungsform des Verfahrens umfasst der Ätzprozess eine Trockenätzung mit einem Gasgemisch aus Cl2, 02, einem kohlenstoff- und fluorhaltigen Gas, einem brom- und fluorhaltigen Gas und einem Gas, das Kohlenstoff, Wasserstoff und Fluor enthält. Bei einer noch weiteren Ausführungsform des Verfahrens umfasst der Ätzprozess eine Trockenätzung mit einem Ätzmittel, das Chlor-, Fluor-, Brom-, Sauerstoff-, Wasserstoff- und Kohlenstoff-Atome hat.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/551903 [0001]

Claims (20)

  1. Halbleitervorrichtung mit: einem Substrat; einer ersten Finne und einer zweiten Finne, die aus dem Substrat herausragen; und einem ersten High-k-Metall-Gate (HK-MG) und einem zweiten HK-MG, wobei das erste HK-MG über der ersten Finne angeordnet ist und das zweite HK-MG über der zweiten Finne angeordnet ist, wobei in einer Draufsicht die erste und die zweite Finne der Länge nach entlang einer ersten Richtung angeordnet sind, das erste und das zweite HK-MG der Länge nach entlang einer zweiten Richtung, die im Wesentlichen senkrecht zu der ersten Richtung ist, angeordnet sind, und das erste und das zweite HK-MG entlang der zweiten Richtung ausgerichtet sind, und in einer Schnittansicht, die entlang der zweiten Richtung geschnitten ist, das erste HK-MG eine erste Seitenwand hat, die von oben nach unten zu dem zweiten HK-MG hin abgeschrägt ist, und das zweite HK-MG eine zweite Seitenwand hat, die von oben nach unten zu dem ersten HK-MG hin abgeschrägt ist.
  2. Halbleitervorrichtung nach Anspruch 1, wobei die erste Seitenwand einen ersten Winkel mit einer Normalen des Substrats bildet, wobei der erste Winkel in einem Bereich von 1 bis 10 Grad liegt.
  3. Halbleitervorrichtung nach Anspruch 2, wobei der erste Winkel in einem Bereich von 2 bis 5 Grad liegt.
  4. Halbleitervorrichtung nach Anspruch 2 oder 3, wobei die zweite Seitenwand einen zweiten Winkel mit der Normalen des Substrats bildet, wobei der zweite Winkel in einem Bereich von 1 bis 10 Grad liegt.
  5. Halbleitervorrichtung nach Anspruch 4, wobei der zweite Winkel in einem Bereich von 2 bis 5 Grad liegt.
  6. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, die weiterhin ein dielektrisches Material seitlich zwischen der ersten und der zweiten Seitenwand aufweist.
  7. Halbleitervorrichtung nach Anspruch 6, wobei das dielektrische Material einen Zwischenraum zwischen der ersten und der zweiten Seitenwand vollständig ausfüllt.
  8. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei ein erster Abstand zwischen den jeweiligen oberen Teilen der ersten und der zweiten Seitenwand gemessen wird, ein zweiter Abstand zwischen den jeweiligen unteren Teilen der ersten und der zweiten Seitenwand gemessen wird und ein Verhältnis des zweiten Abstands zu dem ersten Abstand in dem Bereich von 0,4 bis 0,8 liegt.
  9. Halbleitervorrichtung nach Anspruch 8, wobei das Verhältnis des zweiten Abstands zu dem ersten Abstand in dem Bereich von 0,5 bis 0,7 liegt.
  10. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei ein Verhältnis einer Höhe des ersten HK-MG zu einem Abstand zwischen den jeweiligen oberen Teilen der ersten und der zweiten Seitenwand in einem Bereich von 3 bis 10 liegt.
  11. Halbleitervorrichtung nach Anspruch 10, wobei das Verhältnis der Höhe zu dem Abstand 5 bis 7 beträgt.
  12. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, die weiterhin eine Trennstruktur zwischen der ersten und der zweiten Finne und unter dem ersten und dem zweiten HK-MG aufweist.
  13. Halbleitervorrichtung mit: einem Substrat; einer ersten Finne und einer zweiten Finne, die aus dem Substrat herausragen; einer dritten und einer vierten Finne, die aus dem Substrat herausragen; einem ersten High-k-Metall-Gate (HK-MG), das über der ersten und der zweiten Finne angeordnet ist; und einem zweiten HK-MG, das über der dritten und der vierten Finne angeordnet ist, wobei in einer Draufsicht die erste, zweite, dritte und vierte Finne der Länge nach entlang einer ersten Richtung angeordnet sind und das erste und das zweite HK-MG der Länge nach entlang einer zweiten Richtung ausgerichtet sind, die im Wesentlichen senkrecht zu der ersten Richtung ist, in einer Schnittansicht, die entlang der zweiten Richtung geschnitten ist, das erste HK-MG eine erste Seitenwand hat, das zweite HK-MG eine zweite Seitenwand entgegengesetzt zu der ersten Seitenwand hat und die erste und die zweite Seitenwand zwei Winkel mit einer Normalen des Substrats bilden, wobei jeder Winkel kleiner als tan 1 ( 1 2 × AR )
    Figure DE102018106170A1_0005
    ist, wobei AR ein Verhältnis einer Höhe des ersten HK-MG zu einem Abstand zwischen jeweiligen oberen Teilen der ersten und der zweiten Seitenwand ist, und ein Zwischenraum zwischen der ersten und der zweiten Seitenwand vollständig mit einem oder mehreren dielektrischen Materialien ausgefüllt ist.
  14. Halbleitervorrichtung nach Anspruch 13, wobei in der Schnittansicht ein erster Abstand zwischen den jeweiligen oberen Teilen der ersten und der zweiten Seitenwand gemessen wird, ein zweiter Abstand zwischen den jeweiligen unteren Teilen der ersten und der zweiten Seitenwand gemessen wird und ein Verhältnis des zweiten Abstands zu dem ersten Abstand in dem Bereich von 0,4 bis 0,8 liegt.
  15. Halbleitervorrichtung nach Anspruch 13 oder 14, wobei AR in einem Bereich von 4 bis 8 liegt.
  16. Verfahren mit den folgenden Schritten: Bereitstellen einer Struktur mit: einem Substrat, Finnen, die aus dem Substrat herausragen, und einer Trennstruktur über dem Substrat und zwischen benachbarten Finnen, wobei die Trennstruktur niedriger als die Finnen ist; Herstellen eines Gates über der Trennstruktur und den Finnen, wobei das Gate eine dielektrische High-k-Schicht und eine leitfähige Schicht aufweist; und Durchführen eines Ätzprozesses an dem Gate zwischen zwei benachbarten Finnen, um die Trennstruktur freizulegen, sodass das Gate in mindestens einen ersten Teil und einen zweiten Teil getrennt wird, wobei der Ätzprozess so gesteuert wird, dass eine erste Seitenwand des ersten Teils und eine entgegengesetzte zweite Seitenwand des zweiten Teils entstehen, wobei die erste und die zweite Seitenwand eine obere Öffnung bereitstellen, die breiter als eine untere Öffnung ist.
  17. Verfahren nach Anspruch 16, wobei der Ätzprozess eine Trockenätzung mit einer Vorspannung in dem Bereich von 50 bis 100 V umfasst.
  18. Verfahren nach Anspruch 16 oder 17, wobei die leitfähige Schicht Wolfram (W) aufweist und für den Ätzprozess ein Gasgemisch verwendet wird, das CxFy enthält, wobei x : y größer als 1 : 4 ist.
  19. Verfahren nach Anspruch 16 oder 17, wobei der Ätzprozess eine Trockenätzung mit einem Gasgemisch aus Cl2, O2, einem kohlenstoff- und fluorhaltigen Gas, einem brom- und fluorhaltigen Gas und einem Gas, das Kohlenstoff, Wasserstoff und Fluor enthält, umfasst.
  20. Verfahren nach Anspruch 16 oder 17, wobei der Ätzprozess eine Trockenätzung mit einem Ätzmittel umfasst, das Chlor-, Fluor-, Brom-, Sauerstoff-, Wasserstoff- und Kohlenstoff-Atome aufweist.
DE102018106170.6A 2017-08-30 2018-03-16 Geschnittenes metall-gate mit abgeschrägten seitenwänden Pending DE102018106170A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762551903P 2017-08-30 2017-08-30
US62/551,903 2017-08-30
US15/904,835 US10535654B2 (en) 2017-08-30 2018-02-26 Cut metal gate with slanted sidewalls
US15/904,835 2018-02-26

Publications (1)

Publication Number Publication Date
DE102018106170A1 true DE102018106170A1 (de) 2019-02-28

Family

ID=65321580

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018106170.6A Pending DE102018106170A1 (de) 2017-08-30 2018-03-16 Geschnittenes metall-gate mit abgeschrägten seitenwänden

Country Status (1)

Country Link
DE (1) DE102018106170A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020124631A1 (de) 2020-06-26 2021-12-30 Taiwan Semiconductor Manufacturing Co., Ltd. Mehrschichtiger isolierfilmstapel

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020124631A1 (de) 2020-06-26 2021-12-30 Taiwan Semiconductor Manufacturing Co., Ltd. Mehrschichtiger isolierfilmstapel
US11335603B2 (en) 2020-06-26 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layered insulating film stack
DE102020124631B4 (de) 2020-06-26 2023-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Mehrschichtiger isolierfilmstapel und verfahren zu seiner herstellung
US11823955B2 (en) 2020-06-26 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layered insulating film stack

Similar Documents

Publication Publication Date Title
DE102017123950B4 (de) Finfet-bauelement und verfahren zur herstellung desselben
DE102016119017B4 (de) Verfahren zur Halbleitervorrichtungsherstellung mit verbesserter Source-Drain-Epitaxie
DE102017114427A1 (de) Verfahren zur Herstellung von Trennelementen für Halbleiterstrukturen
DE102017127554B3 (de) Halbleiterfabrikationsverfahren mit Vermeidung von Gatehöhenverlust in Planarisierungsprozessen
DE102020119976B4 (de) Verfahren zum herstellen einer halbleitervorrichtung
DE102019111297B4 (de) Halbleiter-Bauelement und Verfahren
DE102020134644B4 (de) Rückseitenkontakt und verfahren zu seiner herstellung
DE102017120267A1 (de) Verfahren zur herstellung von source/drain-kontakt in halbleitervorrichtungen
DE102020106231A1 (de) Vorrichtung mit niedrigem leckstrom
DE102017120571A1 (de) Verfahren zum reduzieren der kontakttiefenschwankung bei der halbleiterherstellung
DE102021116181A1 (de) Transistor-gatestrukturen und verfahren zum bilden derselben
DE102018124725A1 (de) Verfahren zur Herstellung einer Halbleitervorrichtung mit verbesserter epitaxialer Source/Drain-Abstandsregelung
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102019119716A1 (de) Hybrid-source-drain-regionen, die basierend auf derselben finne gebildet sind und verfahren zur bildung dieser
DE102021114139B4 (de) Verfahren zum bilden von mehrschichtigen photoätzmasken mit organischen undanorganischen materialien
DE102018106170A1 (de) Geschnittenes metall-gate mit abgeschrägten seitenwänden
DE102018124815B4 (de) FIN-Feldeffekttransistorbauteil und Verfahren
DE102021110375A1 (de) Vergrösserung von abscheidungsfenster
DE102021115949A1 (de) Leitfähige abdeckung für austrittsarbeitsschicht und verfahren zu deren bildung
DE102020132620A1 (de) Halbleitervorrichtung und Verfahren
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung
DE102017126106B4 (de) Von dielektrischen finnen und abstandshaltern begrenzte epitaxiale strukturelemente
DE102020114996A1 (de) Halbleitervorrichtung und verfahren zum ausbilden von dieser
DE102016119024B4 (de) Verfahren zum Herstellen einer FinFET-Vorrichtung mit epitaktischen Elementen mit flacher Oberseite
DE102020102548A1 (de) Selbstausrichtende kontaktanordnung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0027092000

Ipc: H01L0027088000

R016 Response to examination communication
R016 Response to examination communication