TW202147398A - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TW202147398A
TW202147398A TW110100390A TW110100390A TW202147398A TW 202147398 A TW202147398 A TW 202147398A TW 110100390 A TW110100390 A TW 110100390A TW 110100390 A TW110100390 A TW 110100390A TW 202147398 A TW202147398 A TW 202147398A
Authority
TW
Taiwan
Prior art keywords
etching process
hard mask
etch
forming
semiconductor device
Prior art date
Application number
TW110100390A
Other languages
English (en)
Other versions
TWI815072B (zh
Inventor
賴啟勝
孫維中
陳立庭
高魁佑
林志翰
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202147398A publication Critical patent/TW202147398A/zh
Application granted granted Critical
Publication of TWI815072B publication Critical patent/TWI815072B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28132Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects conducting part of electrode is difined by a sidewall spacer or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

提供一種形成具有不同節距的閘極結構的製程。例示方法包括提供加工物,其包括基板及藉由隔離特徵部件彼此隔開的半導體鰭片,沉積閘極材料層於加工物上,形成圖案化硬罩幕於閘極材料層上,圖案化硬罩幕包括具有不同節距的細長形部件,使用圖案化硬罩幕作為蝕刻罩幕進行第一蝕刻製程穿過閘極材料層,以形成溝槽,使用圖案化硬罩幕作為蝕刻罩幕進行第二蝕刻製程,以將溝槽延伸到隔離特徵部件的頂表面,及使用圖案化硬罩幕作為蝕刻罩幕進行第三蝕刻製程,以將溝槽延伸到進入隔離特徵部件中。第一蝕刻製程包括使用四氟化碳,且不使用氧氣。

Description

半導體裝置的形成方法
本發明實施例係有關於一種半導體裝置的形成方法,且特別係有關於一種形成具有不同節距的閘極結構的半導體裝置的方法。
半導體積體電路工業已經歷快速成長。積體電路之材料和設計方面的技術進步已經產生了數代的積體電路,其中每一代都比上一代具有更小且更複雜的電路。在積體電路的發展過程中,隨著幾何尺寸(亦即,利用製程所能夠製造的最小裝置尺寸或線寬)的降低,功能密度(functional density,亦即,每一晶片面積中內連接的裝置數量)已普遍增加。尺寸縮減之製程具有提升生產效率及降低相關成本的優點。
隨著如此的尺寸縮減,加工與製造積體電路的複雜性也隨之增加,為了要實現這些優點,在積體電路的加工及製造中需要類似的發展。舉例而言,雙重圖案化(double-patterning)製程或多重圖案化(multiple-patterning)製程已被使用於形成均勻尺寸的圖案化部件。首先形成心軸(mandrel)於硬罩幕層上。然後沉積間隔物層於心軸上,包括沉積於心軸的側壁上。在移除沉積於心軸上的間隔物層而暴露出心軸之後,選擇性地移除心軸,且留下用以內襯於心軸側壁的經過圖案化的間隔物層。使用經過圖案化的間隔物層作為蝕刻罩幕,以圖案化位於其下方的硬罩幕層。然而,當期望在半導體裝置中具有不同節距的閘極結構時,蝕刻的負載效應(loading effect)可能無法實現在用於閘極結構的閘極材料的整體深度上的均勻蝕刻。目前已經提出幾種習知的製程以解決這一挑戰,但是這些的製程無法滿足在所有方面的需求。因此,需要一種改良的製程。
本發明之一實施例係揭示一種半導體裝置的形成方法,包括:提供加工物,其中上述加工物包括基板及複數個半導體鰭片位於上述基板上,其中上述複數個半導體鰭片的每一者藉由隔離特徵部件與上述複數個半導體鰭片的另一者彼此隔開,沉積閘極材料層於上述加工物之上,其中上述閘極材料層包括上述複數個半導體鰭片的頂表面之上的第一厚度,形成經過圖案化的硬罩幕於上述閘極材料層之上,其中上述經過圖案化的硬罩幕包括第一複數個細長形特徵部件及第二複數個細長形特徵部件,使用上述經過圖案化的硬罩幕作為蝕刻罩幕,進行第一蝕刻製程穿過上述閘極材料層,以形成溝槽朝向上述複數個半導體鰭片的上述頂表面延伸穿過上述第一厚度的約90%至約95%,使用上述經過圖案化的硬罩幕作為蝕刻罩幕,進行第二蝕刻製程,以將上述溝槽延伸到上述隔離特徵部件的頂表面,以及使用上述經過圖案化的硬罩幕作為蝕刻罩幕,進行第三蝕刻製程,以將上述溝槽延伸到進入上述隔離特徵部件之中。上述第一複數個細長形特徵部件包括第一節距,且上述第二複數個細長形特徵部件包括大於上述第一節距的第二節距,其中上述第一蝕刻製程包括使用四氟化碳,且上述第一蝕刻製程包括壓力在約40 mTorr與約100 mTorr之間,且其中上述第一蝕刻製程不使用氧氣。
本發明之另一實施例係揭示一種半導體裝置的形成方法,包括:提供加工物,其中上述加工物包括:基板,複數個半導體鰭片,位於上述基板上,其中上述複數個半導體鰭片的每一者藉由隔離特徵部件與上述複數個半導體鰭片的另一者彼此隔開,以及介電層,順應性地沉積於上述複數個半導體鰭片之上。上述半導體裝置的形成方法包括沉積閘極材料層於上述加工物之上,其中上述閘極材料層亦包括上述複數個半導體鰭片的頂表面之上的第一厚度;形成經過圖案化的硬罩幕於上述閘極材料層之上,其中上述經過圖案化的硬罩幕包括第一複數個細長形特徵部件及第二複數個細長形特徵部件;使用上述經過圖案化的硬罩幕作為蝕刻罩幕,進行第一蝕刻製程穿過上述閘極材料層,以形成溝槽延伸穿過上述第一厚度的實質部分;使用上述經過圖案化的硬罩幕作為蝕刻罩幕,進行第二蝕刻製程,以將上述溝槽延伸到上述隔離特徵部件的頂表面;以及使用上述經過圖案化的硬罩幕作為蝕刻罩幕,進行第三蝕刻製程,以將上述溝槽延伸到進入上述隔離特徵部件之中。上述第一複數個細長形特徵部件包括第一節距,且上述等第二複數個細長形特徵部件包括大於上述第一節距的第二節距。上述第一蝕刻製程及上述第三蝕刻製程不使用氧氣,且上述第一蝕刻製程包括使用氧氣。
本發明之又一實施例係揭示一種半導體裝置的形成方法,包括:提供加工物,其中上述加工物包括:基板,複數個半導體鰭片,位於上述基板上,其中上述複數個半導體鰭片的每一者藉由隔離特徵部件與上述複數個半導體鰭片的另一者彼此隔開,以及氧化矽層,順應性地沉積於上述複數個半導體鰭片之上。上述半導體裝置的形成方法包括沉積閘極材料層於上述加工物之上,其中上述閘極材料層包括上述複數個半導體鰭片的頂表面之上的第一厚度;形成經過圖案化的硬罩幕於上述閘極材料層之上,其中上述經過圖案化的硬罩幕包括第一複數個細長形特徵部件及第二複數個細長形特徵部件;使用上述經過圖案化的硬罩幕作為蝕刻罩幕,進行第一蝕刻製程穿過上述閘極材料層,以形成溝槽延伸穿過上述第一厚度的實質部分;使用上述經過圖案化的硬罩幕作為蝕刻罩幕,進行第二蝕刻製程,以將上述溝槽延伸到上述隔離特徵部件的頂表面;以及使用上述經過圖案化的硬罩幕作為蝕刻罩幕,進行第三蝕刻製程,以將上述溝槽延伸到進入上述隔離特徵部件之中。上述第一複數個細長形特徵部件包括第一節距,且上述第二複數個細長形特徵部件包括第二節距,其中上述第二節距為上述第一節距的約1.1倍與約2.0倍之間。上述第一蝕刻製程包括四氟化碳,且上述第一蝕刻製程不使用氧氣。上述第三蝕刻製程不使用氧氣及溴化氫,且上述第三蝕刻製程包括氯氣。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同部件(feature)。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,若是本說明書敘述了一第一部件形成於一第二部件之上或上方,即表示其可能包含上述第一部件與上述第二部件是直接接觸的實施例,亦可能包含了有額外的部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與第二部件可能未直接接觸的實施例。另外,以下揭露的不同範例可能重複使用相同的參照符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。此外,為了簡化與清晰的目的,可以依照不同比例任意繪製各種部件。
此外,其與空間相關用詞,例如“在…下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,係為了便於描述圖式中一個元件或部件與另一個(些)元件或部件之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含部件的裝置之不同方位。裝置能夠以其他方式定向(旋轉90度或其他方向),並且本文中所使用的空間相關用詞可以同樣地被相應地解釋。
此外,當使用“大約”、“近似於”或其他類似的用語等描述一個數值或一個數值範圍時,此術語旨在涵蓋在包括所述數值的合理範圍內的數字,例如在所述數值的+/- 10%,或是本技術領域中具有通常知識者所理解的其他數值。舉例而言,技術用語“大約5 nm”涵蓋從4.5 nm至5.5 nm的尺寸範圍。
為了適應半導體裝置部件的尺寸縮小,在半導體製造中已取得進步。當尺寸的縮小超過光微影(photolithography)的解析度時,已使用多種圖案化技術。舉例而言,雙重圖案化微影(double patterning lithography, DPL)製程(例如,微影-蝕刻-微影-蝕刻(ithography-etch-lithography-etch, LELE)製程、自對準雙重圖案化(self-aligned double patterning, SADP)製程、間隔物即介電材料圖案化(spacer-is-dielectric patterning, SIDP)製程、其他雙重圖案化製程或上述之組合)可用於形成經過圖案化的蝕刻罩幕。可以進行製程步驟的進一步迭代,以形成進一步更小尺寸的部件。舉例而言,可以實施三重圖案化(triple patterning)製程(例如,微影-蝕刻-微影-蝕刻-微影-蝕刻(LELELE)製程、自對準三重圖案(self-aligned triple patterning, SATP)製程、其他三重圖案化製程或上述之組合)、其他多重圖案化製程(例如,自對準四重圖案化(self-aligned quadruple patterning, SAQP)製程)或上述之組合。一般而言,多重圖案化製程是結合微影製程與自對準製程,而允許創造具有較小節距的圖案,例如,其節距小於使用單一直接光微影製程所能夠得到的節距。舉例而言,在一些實施例中,使用微影製程形成經過圖案化的犧牲層於基板之上,並且使用例如,自對準製程,而形成間隔物於經過圖案化的犧牲層旁。之後,移除經過圖案化的犧牲層,並且可使用間隔物作為蝕刻罩幕,以將位於其下方的膜層(例如,硬罩幕層)圖案化。
上述多重圖案化技術的限制在於,所得到的蝕刻罩幕包括實質上均一寬度的特徵部件。之所以如此,是因為這些特徵部件是自對準製程的結果,其發生的尺度為光微影製程的解析度以外。雖然在多重圖案化技術中的光微影製程可以決定特徵部件之間的節距(pitch)和間距(spacing),但是無法改變自對準特徵部件的尺寸。在上述示範例中,隨著光微影製程對犧牲層進行圖案化,可以藉由光微影製程控制圖案化犧牲層中的犧牲層特徵部件的尺寸。然而,光微影製程不會影響間隔物在犧牲層上的沉積方式。取而代之的是,間隔物層的厚度和均勻性很大程度上可以藉由間隔物層的材料特性與製程參數而加以控制,其在整個間隔物層上是均勻的。結果,間隔物層中的特徵部件尺寸在很大程度上是均勻的。
當在半導體裝置中需要具有變化的節距的閘極結構時,上述限制造成挑戰。已經觀察到,由於不同的蝕刻負載,密集堆積的特徵部件與鬆散堆積的特徵部件會經歷不同的蝕刻速率。在不均勻蝕刻負載的一種模式中,蝕刻製程的副產物可能會以不同的速率再沉積(redeposited)在隔離區域(即,鬆散堆積的區域)的側表面(lateral surface)與稠密區域(即,密集堆積的區域)的側表面上,如此將導致不同區域的橫向蝕刻(lateral etching)不均勻。在某些情況下,隔離區域中副產物的再沉積速率(redeposition rate)大於稠密區域中的副產物再沉積速率,並且隔離區域以比稠密區域更慢的速率蝕刻。為了補償不均勻的橫向蝕刻,可以使用額外的光微影製程及蝕刻製程,以修整經過圖案化的硬罩幕。在隔離區域以較低速率蝕刻的示範例中,隔離區域中的經過圖案化的硬罩幕特徵部件被修整為具有較小的尺寸。然而,這種額外的光微影製程及修整製程(trimming process)可能會導致增加的成本並且可能降低製造產量。具有較小波長的高解析度光微影技術也可用於精確地形成具有變化尺寸的蝕刻罩幕特徵部件,以補償不均勻的橫向蝕刻。然而,使用這種高解析度光微影技術也會導致增加的成本。
本揭露提供一種使用不同節距但尺寸相似的蝕刻罩幕特徵部件均勻蝕刻穿過閘極材料層的製程,進而形成具有實質上筆直的側壁的閘極結構。例示性的製程包括:第一蝕刻製程,其用於蝕刻穿過位於主動區(例如,鰭片或半導體鰭片)的頂表面上方的閘極材料層;第二蝕刻製程,其用於蝕刻閘極材料層而穿過隔離特徵部件的頂表面;以及第三蝕刻製程,其用於蝕刻到進入隔離特徵部件之中。第一蝕刻製程包括四氟化碳(carbon tetrafluoride)的使用,並且第一蝕刻製程不含氧氣(O2 )。另外,在增加的壓力下進行第一蝕刻製程,以減少橫向蝕刻。第二蝕刻製程包括氮化製程,以將虛置閘極介電層氮化,藉以保護主動區。第三蝕刻製程不含氧氣及溴化氫(HBr)。取而代之的是,第三蝕刻製程包括氯氣的使用,並且持續時間很短暫。藉由避免使用高成本的替代製程,依據本揭露的製程提供一種較為經濟的選擇,其可用於形成具有實質上垂直的側壁的不同節距的閘極結構。換句話說,本揭露的製程為電路設計者提供更大程度的設計自由度,而不會明顯增加製造成本。
現在將參照圖式更詳細地描述本揭露的各個方面。第1圖是依據本揭露之一些實施例之製造半導體裝置的方法100的流程圖。在一些實施例中,半導體裝置包含多閘極電晶體,其中閘極結構在其通道區域中包圍主動區的多個側面。多閘極電晶體的示範例包括鰭式場效電晶體(fin-like field effect transistor, FinFET)或全繞式閘極(gate-all-around, GAA)電晶體。方法100僅是一個示範例,並且不意圖將本揭露限制為方法100中明確說明的內容。可以在方法100之前、之間及之後提供額外的步驟,並且對於方法100的附加實施例,可以移動、替換或省略所述的一些步驟。為了簡化的目的,在本文中沒有詳細描述所有步驟。下文將結合第2圖、第3A圖、第3B圖及第4圖至第11圖所繪示的加工物(workpiece) 200的立體示意圖、上視示意圖及局部剖面示意圖,而描述方法100。因為半導體裝置將由加工物200形成,所以依據上下文需要,可以將加工物200稱為半導體裝置200。
半導體裝置200可以被包括在微處理器(microprocessor)、記憶體及/或其他積體電路裝置中。在一些實施例中,積體電路裝置10可以是積體電路晶片的一部分、系統整合晶片(system on chip, SoC)的一部分或上述的部分,其包括各種被動及主動微電子裝置,例如,電阻、電容、電感、二極體、金屬氧化物半導體場效電晶體(metal-oxide semiconductor field effect transistor, MOSFET)、互補式金屬氧化物半導體(CMOS)電晶體、雙極電晶體(bipolar junction transistors, BJT)、橫向擴散金屬氧化物半導體(laterally diffused MOS, LDMOS)電晶體、高壓電晶體、高頻電晶體、其他合適的組件或上述之組合。為了清楚的目的,已經簡化第2圖、第3A圖、第3B圖及第4圖至第11圖,以更容易理解本揭露的技術思想。可以在半導體裝置200中增加外的特徵部件,並且可以在半導體裝置200的附加實施例中替換、修改或省略以下描述的一些特徵部件。
請參照第1圖、第2圖、第3A圖及第3B圖,方法100包括方塊102,在方塊102中,接收包括複數個鰭片204的加工物200。如第2圖、第3A圖及第3B圖所繪示,加工物200包括基板202。在所描繪的實施例中,基板202是包括矽的塊材(bulk)基板。另外,在一些實施例中,基板202包括塊材基板(包括,例如,矽)及設置於此塊材基板上方的一層或多層的材料層。例如,此一層或多層的材料層可以包括設置於此塊材基板上方的具有各種半導體層(例如,異質結構(heterostructure))的半導體層堆疊,其中半導體層堆疊後續將被圖案化以形成鰭片。這些半導體層可包括任何合適的半導體材料,例如,矽、鍺、矽鍺、其他合適的半導體材料或上述之組合。根據半導體裝置200的設計需求,這些半導體層可包括相同的或不同的材料、蝕刻速率、組成成分原子百分比、組成成分重量百分比、厚度及/或配置方式。在一些實施例中,此半導體層堆疊包括相互交替的半導體層,例如,由第一材料構成的半導體層以及由第二材料構成的半導體層。舉例而言,此半導體層堆疊相互交替地排列矽層及矽鍺層(例如,從底部往頂部排列的矽/矽鍺/矽)。在一些實施例中,此半導體層堆疊包括相同材料但是具有相互交替的組成成分原子百分比的半導體層,例如,具有第一原子百分比的組成成分的半導體層及具有第二原子百分比的組成成分的半導體層。舉例而言,此半導體層堆疊包括矽鍺層,其具有相互交替的矽及/或鍺原子百分比(例如,從底部往頂部排列的Sia Geb /Sic Ged /Sia Geb ,其中a及c為不同的矽原子百分比,且b及d為不同的鍺原子百分比)。可替代地或另外地,基板202及/或上述一層或多層的材料層包括其他元素半導體,例如,鍺;化合物半導體,例如,碳化矽、磷化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦(indium antimonide)、氧化鋅、硒化鋅、硫化鋅、碲化鋅、硒化鎘、硫化鎘及/或碲化鎘;合金半導體,例如,矽鍺(SiGe)、碳磷化矽(SiPC)、磷砷化鎵(GaAsP)、砷化銦鋁(AlInAs)、砷化鎵鋁(AlGaAs)、砷化銦鎵(GaInAs)、磷化銦鎵(GaInP)及/或磷砷化銦鎵(GaInAsP);其他III-V族材料;其他II-V族材料或上述之組合。此外,基板202可為絕緣體上覆半導體(semiconductor-on-insulator)基板,例如,絕緣體上覆矽(silicon-on-insulator, SOI)基板、絕緣體上覆矽鍺(silicon germanium-on-insulator, SGOI)基板或絕緣體上覆鍺(germanium-on-insulator, GOI)基板。可使用氧植入分離(separation by implantation of oxygen, SIMOX)、晶圓接合及/或其他合適的方法製造絕緣體上覆半導體基板。
在一些實施例中,可以使用多重圖案化製程從基板202或沉積在基板202上方的半導體層形成複數個鰭片204,其中多重圖案化可包括,例如,雙重圖案化製程(例如,微影-蝕刻-微影-蝕刻製程、自對準雙重圖案化製程、間隔物即介電材料圖案化製程、其他雙重圖案化製程或上述之組合)、三重圖案化製程(例如,微影-蝕刻-微影-蝕刻-微影-蝕刻製程、自對準三重圖案化製程、其他三重圖案化製程或上述之組合)、其他多重圖案化製程(例如,自對準四重圖案化製程)或上述之組合。一般而言,多重圖案化製程是結合微影製程與自對準製程,而允許創造具有較小節距的圖案,例如,其節距小於使用單一直接光微影製程所能夠得到的節距。舉例而言,在一些實施例中,使用微影製程形成經過圖案化的犧牲層於基板之上,並且使用例如,自對準製程,而形成間隔物於經過圖案化的犧牲層旁。之後,移除經過圖案化的犧牲層,並且可使用間隔物作為蝕刻罩幕,以將位於其下方的膜層圖案化。在一些實施例中,在多重圖案化製程期間,實施定向自組裝(directed self-assembly, DSA)技術。因為複數個鰭片204中的每一者由形成基板202的半導體材料所形成或是由沉積在基板202上的半導體層的半導體材料所形成,因此,其也可以被稱為半導體鰭片或半導體鰭片結構。為了簡潔的目的,省略複數個鰭片204的形成製程的詳細描述。
請參照第2圖,第2圖是包括複數個鰭片204的加工物200的立體示意圖。鰭片204從基板202沿著Z方向向上延伸,並且彼此平行地沿著X方向延伸。作為示範例,在本揭露的第2圖中繪示出五個鰭片。本揭露內容不限於此,而是完全預期包括更多或更少的鰭片204的加工物。在相鄰的鰭片204之間形成隔離特徵部件206 (即,淺溝槽隔離(shallow trench isolation, STI)特徵部件),以提供電性隔離與機械支撐。因此,隔離特徵部件206包括隔離材料,例如,氧化矽、氮化矽、氮氧化矽、其他合適的隔離材料(例如,包括矽、氧、氮、碳及/或其他合適的隔離組成成分)或上述之組合。如第2圖所繪示,將隔離特徵部件206回蝕(pull-back)以形成鰭片間凹口205,使得複數個鰭片中的每一個鰭片的一部分升高到隔離特徵部件206的頂表面上方。在第3A圖繪示出的上視示意圖中,複數個鰭片204沿著X方向延伸,並且每一個鰭片都藉由隔離特徵部件206與相鄰的鰭片分離。第3B圖提供沿著複數個鰭片204的其中一者且沿著剖面I-I’的Y方向視圖。因為剖面穿過複數個鰭片204的其中一者,所以在第3B圖中無法看見隔離特徵部件206。為了說明本揭露的各種特徵部件,如同第3B圖,後續的圖式包括第4圖至第11圖是沿著剖面I-I’穿過鰭片204的局部剖面示意圖。
請參照第1圖及第4圖,方法100包括方塊104,在方塊104中,沉積閘極材料層208於複數個鰭片204之上。如第4圖所繪示,沉積閘極材料層208於加工物200之上,包括沉積閘極材料層208於隔離特徵部件206的頂表面之上、複數個鰭片204的每一個鰭片的頂表面之上並進入鰭片間凹口205 (繪示於第2圖中,但是並未繪示於第4圖中)。在採用閘極後製製程或閘極替換製程的一些實施例中,閘極材料層208用於形成虛置閘極堆疊,且閘極材料層208可以包括多晶矽。虛置閘極結構在一部分的製造製程中充當功能閘極結構(functional gate structure)的佔位結構(placeholder),並在後續被功能閘極堆疊取代。可以在沉積閘極材料層208之前,毯覆地沉積虛置閘極介電層207於加工物200之上。如第4圖所繪示,沿著剖面I-I’,虛置閘極介電層207被設置在鰭片204的頂表面與閘極材料層208之間。虛置閘極介電層207也被設置在複數個鰭片204的側壁上方。在一些實施例中,虛置閘極介電層207可以包括使用熱氧化或合適的製程所沉積的氧化矽。
仍請參照第1圖及第4圖,方法100包括方塊106,在方塊106中,沉積硬罩幕層210於閘極材料層208之上。在一些實施例中,硬罩幕層210將被圖案化,以形成經過圖案化的硬罩幕層210,而作為蝕刻罩幕將閘極材料層208圖案化。在未繪示出的一些實施例中,硬罩幕層210可以是由以下材料形成的單層結構,上述材料可包括氧化矽、氮化矽、氮氧化矽、碳化矽、碳氧化矽(silicon oxycarbide)、碳氮氧化矽(silicon oxycarbonitride)或合適的介電材料。在第4圖所繪示的一些替代實施例中,硬罩幕層210可以是多層結構。如第4圖所繪示,硬罩幕層210包括第一硬罩幕層212及第二硬罩幕層214。在一些實施例中,第一硬罩幕層212由氧化矽所形成,並且第二硬罩幕層由含氮的介電材料(例如,氮化矽或氮氧化矽)所形成。可以使用化學氣相沉積(chemical vapor deposition, CVD)、低壓化學氣相沉積(low pressure chemical vapor deposition, LPCVD)、電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition, PECVD)、其他合適的製程或上述之組合,而沉積第一硬罩幕層212及第二硬罩幕層214中的每一者。
請參照第1圖及第4圖,方法100包括方塊108,在方塊108中,形成心軸特徵部件216(包括第一複數個心軸特徵部件2161、第二複數個心軸特徵部件2162及第三複數個心軸特徵部件2163)於硬罩幕層210之上。第一複數個心軸特徵部件2161中的每一者具有沿著X方向的第一寬度W1。第二複數個心軸特徵部件2162中的每一者具有沿著X方向的第二寬度W2。第三複數個心軸特徵部件2163中的每一者具有沿著X方向的第三寬度W3。第三寬度W3大於第二寬度W2,並且第二寬度W2大於第一寬度W1。在一些情況下,第二寬度W2相對於第一寬度W1之比率在約1.1與約2.0之間,並且第三寬度W3相對於第一寬度W1之比率在約1.1與約2.0之間。應注意的是,雖然在第4圖中將第一複數個心軸特徵部件2161、第二複數個心軸特徵部件2162及第三複數個心軸特徵部件2163繪示為在加工物200上彼此相鄰,但是本揭露並不限定於此,第4圖僅是用於代表性地繪示出可以在同一個加工物200的不同區域中形成寬度變化的心軸特徵部件。
可以在以下的例示性製程中形成心軸特徵部件216。藉由旋轉塗佈(spin-on coating)、化學氣相沉積或合適的沉積製程將犧牲層沉積於硬罩幕層210之上。犧牲層可以由一種材料所形成,且此材料具有與硬罩幕層210或間隔物層(218,繪示於第5圖中)不同的蝕刻選擇性,可以將犧牲層圖案化而不會損傷硬罩幕層210及間隔物層。在一些實施例中,犧牲層可以是合適的半導體材料(例如,矽、鍺或非晶矽)、介電材料(例如,氧化矽、氮化矽、氮氧化矽、碳化矽)、其他合適的材料或上述之組合。之後,將犧牲層圖案化,以形成心軸特徵部件216。使用光微影製程而圖案化犧牲層。使用旋轉塗佈沉積光阻層於犧牲層上,之後,在預曝光烘烤(pre-exposure baking)製程中烘烤此光阻層。光阻層可以是單層結構或多層結構,例如,三層結構。之後,經過預烘烤的光阻層在輻射能量中被曝光,其中此輻射能量會從具有圖案的光罩被反射或是穿透此具有圖案的光罩。之後,在曝光後烘烤(post-exposure baking)製程中烘烤經過曝光的光阻層,並且在顯影製程中進行顯影。輻射源可以是準分子雷射(excimer laser)光源、紫外線(UV)源、深紫外線(DUV)源或極紫外線(EUV)源。由於光阻層被選擇為對輻射能量是敏感的,因此在顯影製程中,光阻層的曝光(或未曝光)部分發生化學變化、而變成可溶於顯影劑溶液中。所得到的經過圖案化的光阻層具有與罩幕的圖案相對應的圖案。之後,在蝕刻製程期間,可以使用經過圖案化的光阻層作為蝕刻罩幕,以移除位於其下方的犧牲層的部分。蝕刻製程可以包括乾式蝕刻製程(例如,反應性離子蝕刻(reactive ion etching, RIE)製程)、濕式蝕刻製程、其他合適的蝕刻製程或上述之組合。在蝕刻製程之後,可以藉由灰化或合適的方法移除經過圖案化的光阻層。此外,曝光製程可以實施無光罩微影(maskless lithography)、電子束寫入(electron-beam writing)、離子束寫入(ion-beam writing)及/或奈米壓印(nanoprint)技術。如第4圖所繪示,由於心軸特徵部件216是藉由光微影製程而形成的,因此允許心軸特徵部件216沿著X方向具有不同的寬度。
請參照第1圖、第5圖及第6圖,方法100包括方塊110,在方塊110中,形成第一複數個間隔物特徵部件2181、第二複數個間隔物特徵部件2182及第三複數個間隔物特徵部件2183於硬罩幕層210之上。在第5圖所繪示的一些實施例中,使用旋轉塗佈、化學氣相沉積或適當的沉積製程將間隔物層218順應性地沉積於加工物200上,包括沉積於心軸特徵部件216的頂表面及側壁上。間隔物層218可以由一種材料所形成,其中此材料具有與心軸特徵部件216的蝕刻選擇性不同的蝕刻選擇性,使得可以選擇性地移除心軸特徵部件216而不會損傷間隔物層218。同時,可以選擇用於間隔物層218的材料,其中此材料具有與硬罩幕層210不同的蝕刻選擇性,使得由間隔物層218形成的間隔物特徵部件可以作為硬罩幕層210的蝕刻罩幕。在一些實施例中,間隔物層218可以是合適的半導體材料(例如,矽、鍺或非晶矽)、介電材料(例如、氧化矽、氮化矽、氧氮化矽、碳化矽)、其他合適的材料或上述之組合。
現在參照第6圖,在沉積間隔物層218之後,可以進行非等向性回蝕刻製程,以移除硬罩幕層210及心軸特徵部件216的頂表面上的多餘的間隔物層218。進行回蝕刻製程,以使心軸特徵部件216從沿著心軸特徵部件216的側壁延伸的間隔物層部分中暴露出來。之後,選擇性地移除心軸特徵部件216,而留下經過圖案化的圖案化間隔物層218,其中經過圖案化的間隔物層218包括第一複數個間隔物特徵部件2181、第二複數個間隔物特徵部件2182及第三複數個間隔物特徵部件2183。在第6圖所繪示的一些實施例中,第一複數個間隔物特徵部件2181可被描述為具有第一節距P1,第二複數個間隔物2182可被描述為具有第二節距P2,並且第三複數個間隔件特徵2183可被描述為具有第三節距P3。從第4圖至第6圖可以看出,第一節距P1實質上對應於第一寬度W1,第二節距P2實質上對應於第二寬度W2,並且第三節距P3實質上對應於第三寬度W3。在一些情況下,第一節距P1實質上等於第一寬度W1與間隔物層218的厚度;第二節距P2實質上等於第二寬度W2與間隔物層218的厚度;並且第三節距P3實質上等於第三寬度W3與間隔物層218的厚度。在一些實施例中,第二節距P2相對於第一節距P1之比率在約1.1與約2.0之間,並且第三節距P3相對於第一節距P1之比率在約1.1與約2.0之間。雖然第二節距P2及第三節距P3共享相同的範圍,但是在所繪示的實施例中,第三節距P3大於第二節距P2。第一節距P1與第二節距P2或第三節距P3之間的差異為至少約10%,以足夠顯著,使得所得到的裝置可以表現出不同的特性或功能。同時,第二節距P2或第三節距P3小於第一節距P1的約兩倍,以使裝置密度不會由於增大的節距而受到影響。
請參照第1圖及第7圖,方法100包括方塊112,在方塊112中,使用第一複數個間隔物特徵部件2181、第二複數個間隔物特徵部件2182及第三複數個間隔物特徵部件2183作為蝕刻罩幕,以圖案化硬罩幕層210。在一些實施例中,可以使用乾式蝕刻製程、濕式蝕刻製程或合適的製程且透過第一複數個間隔物特徵部件2181、第二複數個間隔物特徵部件2182及第三複數個間隔物特徵部件2183,而蝕刻包括第一硬罩幕層212及第二硬罩幕層214的硬罩幕層210。例示性的乾式蝕刻可以使用含氟前驅物(例如,四氟化碳(CF4 )、六氟化硫(SF6 )、二氟甲烷(CH2 F2 )、三氟甲烷(CHF3 )及/或全氟乙烷(C2 F6 ))、含氧前驅物、含氯前驅物(例如,氯氣(Cl2 )、三氯甲烷(CHCl3 )、四氯化碳(CCl4 )及/或三氯化硼(BCl3 ))、含溴前驅物(例如,溴化氫(HBr)及/或三溴甲烷(CHBr3 ))、含碘前驅物、其他合適的前驅物(可用於產生蝕刻劑氣體及/或蝕刻電漿的前驅物)或上述之組合。例示性的濕式蝕刻製程使用蝕刻溶液,此蝕刻溶液包括氫氧化四甲基銨(tetramethylammonium hydroxide, TMAH)、氫氧化銨(NH4 OH)、過氧化氫(H2 O2 )、硫酸(H2 SO4 )、氫氟酸(HF)、鹽酸(HCl)、其他合適的濕式蝕刻成分或上述之組合。如第7圖所繪示,在方塊112的操作將第一複數個間隔物特徵部件2181、第二複數個間隔物特徵部件2182及第三複數個間隔物特徵部件2183的圖案轉移到硬罩幕層210上,而形成經過圖案化的硬罩幕層220,其中此經過圖案化的硬罩幕層220包括第一複數個硬罩幕特徵部件2201、第二複數個硬罩幕特徵部件2202及第三複數個硬罩幕特徵部件2203。與第一複數個間隔物特徵部件2181、第二複數個間隔物特徵部件2182以及第三複數個間隔物特徵部件2183相似,第一複數個硬罩幕特徵部件2201包括第一節距P1,第二複數個硬罩幕特徵部件2202包括第二節距P2,並且第三複數個硬罩幕特徵部件2203包括第三間距節距P3。如第7圖中所繪示,位於第一複數個硬罩幕特徵部件2201、第二複數個硬罩幕特徵部件2202及第三複數個硬罩幕特徵部件2203下方的閘極材料層208包括從鰭片204的頂表面測量的第一厚度(T1)。
請參照第1圖及第8圖,方法100包括方塊114,在方塊114中,使用經過圖案化的硬罩幕220作為蝕刻罩幕進行第一蝕刻製程300。在一些實施例中,第一蝕刻製程300是主要蝕刻製程(main etch process),其以由上往下(top-down)的方式從閘極材料層208的頂表面水平高度Z1穿過第二厚度T2蝕刻至水平高度Z2。在一些情況下,第二厚度T2為第一厚度T1的約90%與約95%之間。換句話說,在第一蝕刻製程300結束時,在複數個鰭片204的頂表面上方保留有限的量或可量測的量的閘極材料層。為了容易地移除閘極材料層208的第一蝕刻製程300的副產物,第一蝕刻製程300包括使用四氟化碳作為蝕刻劑的乾式蝕刻製程。在習知的製程中,在主要蝕刻製程中不傾向使用四氟化碳,因為四氟化碳會導致強烈的橫向蝕刻,而可能使閘極結構(230,如第11圖所繪示)的頂部過早地變薄。依據本揭露,第一蝕刻製程300的壓力從習知製程中的小於20 mTorr增加到大約40 mTorr與大約100mTorr之間。可以觀察到的是,第一蝕刻製程300的增加的製程壓力減小了四氟化碳的平均自由徑(mean free path),因而減輕了與使用四氟化碳有關的橫向蝕刻。選擇所公開的壓力範圍(即,在大約40 mTorr與大約100 mTorr之間),使得第一蝕刻製程300的壓力至少是習知的壓力範圍的兩倍,以確保蝕刻特性的可檢測差異。同時,選擇此壓力範圍,使得第一蝕刻製程300的壓力不會過度降低平均自由徑而過度降低蝕刻速率。在方塊114中,增加的製程壓力需要增加的能量以點燃電漿。在一些實施例中,第一蝕刻製程300及第三蝕刻製程500可以包括使用功率在約400 W至約3500 W之間的範圍內的電感耦合電漿(inductively coupled plasma, ICP),或使用功率在約500 W至約5500 W之間的範圍內的電容耦合電漿(capacitively coupled plasma, CCP)。電感耦合電漿或電容耦合電漿的功率低於上述範圍時,蝕刻速率可能會過度降低,並且製程時間可能會增加。製程時間的增加將導致生產成本的增加。當電感耦合電漿或電容耦合電漿的功率高於上述範圍時,蝕刻速率可能會過度增加,並且可能會失去所需的蝕刻特性,因而導致不期望的鰭片側壁剖面輪廓。
雖然橫向蝕刻可能是第一蝕刻製程300的問題,但是第一蝕刻製程300不使用氧氣,氧氣會產生更多的副產物以鈍化閘極結構230 (繪示於第11圖中)的側壁。在一些實施例中,除了四氟化碳之外,第一蝕刻製程300還可以包括溴化氫及氯氣。在某些情況下,第一蝕刻製程300包括200 sccm (標準立方公分/分鐘,standard cubic centimeter per minute)的溴化氫及約20 sccm至50 sccm的四氟化碳。如第8圖所繪示,在方塊114的第一蝕刻製程形成第一溝槽241、第二溝槽242及第三溝槽243,其中第一溝槽241被定義在第一複數個硬罩幕特徵部件2201中的兩個硬罩幕特徵部件2201之間,第二溝槽242被定義限定在第二複數個硬罩幕特徵部件2202中的兩個硬罩幕特徵部件2202之間,以及第三溝槽243被定義在第三複數個硬罩幕特徵部件2203中的兩個硬罩幕特徵部件2203之間。第一溝槽241、第二溝槽242及第三溝槽243中的每一者具有實質上等於第二厚度T2的深度。如上所述,第二厚度T2為第一厚度T1的約90%至約95%。
請參照第1圖、第9圖及第10圖,方法100包括方塊116,在方塊116中,使用經過圖案化的硬罩幕220進行第二蝕刻製程400。首先參照第9圖。在一些實施例中,第二蝕刻製程400是軟著陸式蝕刻製程(soft-landing etch process),其以由上往下的方式從水平高度Z2蝕刻至隔離特徵部件206的頂表面的水平高度Z3。與第一蝕刻製程300不同,第二蝕刻製程400不含四氟化碳,並且包括氧氣以增強橫向鈍化。在一些實施例中,第二蝕刻製程400可以包括使用氯氣、氧氣及溴化氫。在某些情況下,第二蝕刻製程400的製程壓力也是在約40 mTorr至約100 mTorr之間,其原因與以上關於方塊114所述的原因相似。如第9圖所繪示,第二蝕刻製程400將第一溝槽241、第二溝槽242及第三溝槽243延伸至水平高度Z3 (即,隔離特徵部件206的頂表面)。
現在參照第10圖。在一些實施例中,為了防止對複數個鰭片204的意外損壞,第二蝕刻製程400可以視需要而包括氮化製程410,其中此氮化製程410包括導入含氮反應物,例如,氮氣(N2 )或氨氣(NH3 ),以將虛置閘極介電層207氮化。在虛置閘極介電層207是由氧化矽形成的情況下,氮化製程410可以將氮導入虛置閘極介電層207中,使得虛置閘極介電層207的至少外部部分由氧氮化矽(SiON)所形成。在第二蝕刻製程400中,由於氮氧化矽以比氧化矽慢的速率蝕刻,因此氮化過程410可以保護鰭片204不會受到因第二蝕刻製程400所造成的損傷。氮化過程410可以被併入第二蝕刻製程400中。就此點而言,第二蝕刻製程400可以包括蝕刻循環(即,使用上述蝕刻劑,例如,氯氣、溴化氫及氧氣)及氮化循環(即,氮化製程410)。在一個示範例中,第二蝕刻製程400可以從蝕刻循環開始,隨後是氮化循環,其後是另一個蝕刻循環。蝕刻循環及氮化循環的其他配置方式也被完全考慮。
請參照第1圖及第11圖,方法100包括方塊118,在方塊118中,使用經過圖案化的硬罩幕層220作為蝕刻罩幕進行第三蝕刻製程500。在一些實施例中,第三蝕刻製程500是過蝕刻製程(over-etching process),其以由上往下的方式蝕刻到進入隔離特徵部件206的頂表面之中。換句話說,第三蝕刻製程500進一步將第一溝槽241、第二溝槽241及第三溝槽243延伸到至少部分地進入隔離特徵部件206中。在方塊118的操作結束時,實質上形成閘極結構230。如第11圖所繪示,閘極結構230包括具有第一節距P1的第一複數個閘極結構2301、具有第二節距P2的第二複數個閘極結構2302以及具有第三節距P3的第三複數個閘極結構2303。依據本揭露,第三蝕刻製程500的目的是朝向第一溝槽241、第二溝槽242及第三溝槽243的底部橫向蝕刻側壁。在一些實施例中,第三蝕刻製程500包括使用在約200 sccm至約50 0sccm之間供應的氯氣。為了防止對在第一蝕刻製程300及第二蝕刻製程400中已經形成的閘極結構230的部分的意外損壞,第三蝕刻製程500可以持續約10秒至約20秒。在一些實施例中,第三蝕刻製程500不包括使用溴化氫及氧氣。前者的蝕刻速率小於氯氣,而後者的作用是增強橫向鈍化。在一些情況下,基於與以上關於方塊114所述的那些原因相似的原因,第三蝕刻製程500也在約40 mTorr與約100 mTorr之間的壓力下進行。如上所述,此增加的壓力範圍可以降低蝕刻劑的平均自由徑,並且可以降低蝕刻速率。
請參照第1圖,方法100包括方塊120,在方塊120中,進行進一步的製程。這些進一步的製程可以包括沉積閘極間隔物於閘極結構230之上,沉積層間介電材料(ILD)層於加工物200之上,形成源極/汲極溝槽相鄰於閘極結構230,形成源極/汲極磊晶特徵部件,沉積另一層間介電材料層,使用功能閘極結構替換閘極堆疊,形成與源極/汲極磊晶特徵部件接觸的源極/汲極接觸件,以及形成與功能閘極結構接觸的閘極接觸件。因為功能閘極結構取代閘極結構230,所以功能閘極結構實質上承襲閘極結構230的形狀、尺寸和間距。在方法100結束時,半導體裝置200的功能閘極結構包括取代第一複數個閘極結構2301的第一複數個功能閘極結構、取代第二複數個閘極結構2302的第二複數個功能閘極結構、以及取代第三複數個閘極結構2303的第三複數個功能閘極結構。第一複數個功能閘極結構可以具有第一節距P1,且第二複數個功能閘極結構可以具有第二節距P2,並且第三複數個功能閘極結構可以具有第三節距P3。
本揭露的方法提供許多優點。本揭露的製程的示範例包括第一蝕刻製程、第二蝕刻製程及第三蝕刻製程。第一蝕刻製程是主要蝕刻製程,其蝕刻穿過位於鰭片上的閘極材料層的大部分深度以形成溝槽。第一種蝕刻製程包括使用四氯化碳以利於移除副產物,並增加壓力以減少橫向蝕刻。第一蝕刻製程不包括使用氧氣,其中氧氣可能導致更多的副產物再沉積。第二蝕刻製程是軟著陸式蝕刻製程,其將溝槽延伸到隔離部件的頂表面。第二蝕刻製程包括使用氧氣,並且可以包括氮化製程以保護鰭片免受損傷。第三蝕刻製程是過蝕刻製程,其將溝槽部分地延伸到隔離部件中。第三蝕刻製程包括使用氯氣及較短的蝕刻時間。本揭露的製程可以用於蝕刻穿過閘極材料層以形成不同節距的閘極結構,而無需額外的光微影步驟。
在一實施例中,本揭露提供一種半導體裝置的形成方法。上述半導體裝置的形成方法包括提供加工物,其中上述加工物包括基板及複數個半導體鰭片位於上述基板上,其中上述複數個半導體鰭片的每一者藉由隔離特徵部件與上述複數個半導體鰭片的另一者彼此隔開,沉積閘極材料層於上述加工物之上,其中上述閘極材料層包括上述複數個半導體鰭片的頂表面之上的第一厚度,形成經過圖案化的硬罩幕於上述閘極材料層之上,其中上述經過圖案化的硬罩幕包括第一複數個細長形特徵部件及第二複數個細長形特徵部件,使用上述經過圖案化的硬罩幕作為蝕刻罩幕,進行第一蝕刻製程穿過上述閘極材料層,以形成溝槽朝向上述複數個半導體鰭片的上述頂表面延伸穿過上述第一厚度的約90%至約95%,使用上述經過圖案化的硬罩幕作為蝕刻罩幕,進行第二蝕刻製程,以將上述溝槽延伸到上述隔離特徵部件的頂表面,以及使用上述經過圖案化的硬罩幕作為蝕刻罩幕,進行第三蝕刻製程,以將上述溝槽延伸到進入上述隔離特徵部件之中。上述第一複數個細長形特徵部件包括第一節距,且上述第二複數個細長形特徵部件包括大於上述第一節距的第二節距。上述第一蝕刻製程包括使用四氟化碳,且上述第一蝕刻製程包括壓力在約40 mTorr與約100 mTorr之間,且其中上述第一蝕刻製程不使用氧氣。
在一些實施例中,其中上述第一蝕刻製程更包括使用溴化氫及氯氣。在一些實施例中,其中上述第二節距相對於上述第一節距之比率在約1.1與約2.0之間。在一些實施例中,其中上述第二蝕刻製程包括使用氯氣、溴化氫或氧氣的乾式蝕刻製程。在一些實施例中,其中上述第二蝕刻製程包括使用含氮反應物的氮化製程。在一些實施例中,其中上述含氮反應物包括氮氣。在一些實施例中,其中上述第三蝕刻製程包括氯氣。在一些實施例中,其中上述第三蝕刻製程不使用氧氣及溴化氫。
在另一實施例中,本揭露提供一種半導體裝置的形成方法。上述半導體裝置的形成方法包括提供加工物,上述加工物包括:基板,複數個半導體鰭片,位於上述基板上,其中上述複數個半導體鰭片的每一者藉由隔離特徵部件與上述複數個半導體鰭片的另一者彼此隔開,以及介電層,順應性地沉積於上述複數個半導體鰭片之上。上述半導體裝置的形成方法包括沉積閘極材料層於上述加工物之上,其中上述閘極材料層亦包括上述複數個半導體鰭片的頂表面之上的第一厚度;形成經過圖案化的硬罩幕於上述閘極材料層之上,其中上述經過圖案化的硬罩幕包括第一複數個細長形特徵部件及第二複數個細長形特徵部件;使用上述經過圖案化的硬罩幕作為蝕刻罩幕,進行第一蝕刻製程穿過上述閘極材料層,以形成溝槽延伸穿過上述第一厚度的實質部分;使用上述經過圖案化的硬罩幕作為蝕刻罩幕,進行第二蝕刻製程,以將上述溝槽延伸到上述隔離特徵部件的頂表面;以及使用上述經過圖案化的硬罩幕作為蝕刻罩幕,進行第三蝕刻製程,以將上述溝槽延伸到進入上述隔離特徵部件之中。上述第一複數個細長形特徵部件包括第一節距,且上述等第二複數個細長形特徵部件包括大於上述第一節距的第二節距。上述第一蝕刻製程及上述第三蝕刻製程不使用氧氣,且上述第一蝕刻製程包括使用氧氣。
在一些實施例中,其中上述第一蝕刻製程包括使用溴化氫、四氟化碳及氯氣。在一些實施例中,其中上述第一蝕刻製程包括壓力在約40 mTorr與約100 mTorr之間。在一些實施例中,其中上述第二節距相對於上述第一節距之比率在約1.1與約2.0之間。在一些實施例中,其中上述第二蝕刻製程包括使用氯氣、溴化氫或氧氣的乾式蝕刻製程。在一些實施例中,其中上述第三蝕刻製程包括氯氣。在一些實施例中,其中上述第二蝕刻製程包括氮化製程,以將氮導入上述介電層中。在一些實施例中,其中上述氮化製程包括使用氮氣。
在另一實施例中,本揭露提供一種半導體裝置的形成方法。上述半導體裝置的形成方法包括提供加工物,上述加工物包括:基板,複數個半導體鰭片,位於上述基板上,其中上述複數個半導體鰭片的每一者藉由隔離特徵部件與上述複數個半導體鰭片的另一者彼此隔開,以及氧化矽層,順應性地沉積於上述複數個半導體鰭片之上。上述半導體裝置的形成方法包括沉積閘極材料層於上述加工物之上,其中上述閘極材料層包括上述複數個半導體鰭片的頂表面之上的第一厚度;形成經過圖案化的硬罩幕於上述閘極材料層之上,其中上述經過圖案化的硬罩幕包括第一複數個細長形特徵部件及第二複數個細長形特徵部件;使用上述經過圖案化的硬罩幕作為蝕刻罩幕,進行第一蝕刻製程穿過上述閘極材料層,以形成溝槽延伸穿過上述第一厚度的實質部分;使用上述經過圖案化的硬罩幕作為蝕刻罩幕,進行第二蝕刻製程,以將上述溝槽延伸到上述隔離特徵部件的頂表面;以及使用上述經過圖案化的硬罩幕作為蝕刻罩幕,進行第三蝕刻製程,以將上述溝槽延伸到進入上述隔離特徵部件之中。上述第一複數個細長形特徵部件包括第一節距,且上述第二複數個細長形特徵部件包括第二節距,其中上述第二節距為上述第一節距的約1.1倍與約2.0倍之間。上述第一蝕刻製程包括四氟化碳,且上述第一蝕刻製程不使用氧氣。上述第三蝕刻製程不使用氧氣及溴化氫,且上述第三蝕刻製程包括氯氣。
在一些實施例中,其中上述第一蝕刻製程更包括使用溴化氫及氯氣。在一些實施例中,其中上述第一蝕刻製程包括壓力在約40 mTorr與約100 mTorr之間。在一些實施例中,其中上述第二蝕刻製程包括氮化製程,以將上述氧化矽層的一部分轉換成氮氧化矽。
前述內文概述了許多實施例的部件,使本技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明進行各種改變、置換或修改。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100:方法 102:方塊 104:方塊 106:方塊 108:方塊 110:方塊 112:方塊 114:方塊 116:方塊 118:方塊 120:方塊 200:加工物(半導體裝置) 202:基板 204:鰭片 206:隔離特徵部件 207:虛置閘極介電層 208:閘極材料層 210:硬罩幕層 212:第一硬罩幕層 214:第二硬罩幕層 216:心軸特徵部件 2161:包括第一複數個心軸特徵部件 2162:第二複數個心軸特徵部件 2163:第三複數個心軸特徵部件 218:間隔物層 2181:第一複數個間隔物特徵部件 2182:第二複數個間隔物特徵部件 2183:第三複數個間隔物特徵部件 220:經過圖案化的硬罩幕層 2201:第一複數個硬罩幕特徵部件 2202:第二複數個硬罩幕特徵部件 2203:第三複數個硬罩幕特徵部件 230:閘極結構 2301:第一複數個閘極結構 2302:第二複數個閘極結構 2303:第三複數個閘極結構 241:第一溝槽 242:第二溝槽 243:第三溝槽 300:第一蝕刻製程 400:第二蝕刻製程 500:第三蝕刻製程 P1:第一節距 P2:第二節距 P3:第三節距 T1:第一厚度 T2:第二厚度 W1:第一寬度 W2:第二寬度 W3:第三寬度 Z1:水平高度 Z2:水平高度 Z3:水平高度
依據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,依據本產業的一般作業,圖式並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。 第1圖是依據本揭露之一些實施例之製造半導體裝置的方法的流程圖。 第2圖是依據本揭露之一些實施例之用於半導體裝置的加工物的立體示意圖。 第3A圖是依據本揭露之一些實施例之加工物在與第1圖之方法相關的其中一個製造階段的局部上視示意圖。 第3B圖及第4圖至第11圖是依據本揭露之一些實施例之加工物在與第1圖之方法相關的各個製造階段的局部剖面示意圖。
200:加工物(半導體裝置)
202:基板
204:鰭片
206:隔離特徵部件
207:虛置閘極介電層
208:閘極材料層
230:閘極結構
2301:第一複數個閘極結構
2302:第二複數個閘極結構
2303:第三複數個閘極結構
241:第一溝槽
242:第二溝槽
243:第三溝槽
500:第三蝕刻製程
P1:第一節距
P2:第二節距
P3:第三節距
Z1:水平高度
Z2:水平高度
Z3:水平高度

Claims (20)

  1. 一種半導體裝置的形成方法,包括: 提供一加工物,其中該加工物包括一基板及複數個半導體鰭片位於該基板上,其中該等半導體鰭片的每一者藉由一隔離特徵部件與該等半導體鰭片的另一者彼此隔開; 沉積一閘極材料層於該加工物之上,其中該閘極材料層包括該等半導體鰭片的一頂表面之上的一第一厚度; 形成一經過圖案化的硬罩幕於該閘極材料層之上,其中該經過圖案化的硬罩幕包括第一複數個細長形特徵部件及第二複數個細長形特徵部件; 使用該經過圖案化的硬罩幕作為一蝕刻罩幕,進行一第一蝕刻製程穿過該閘極材料層,以形成一溝槽朝向該等半導體鰭片的該頂表面延伸穿過該第一厚度的約90%至約95%; 使用該經過圖案化的硬罩幕作為一蝕刻罩幕,進行一第二蝕刻製程,以將該溝槽延伸到該隔離特徵部件的一頂表面;以及 使用該經過圖案化的硬罩幕作為一蝕刻罩幕,進行一第三蝕刻製程,以將該溝槽延伸到進入該隔離特徵部件之中, 其中該等第一複數個細長形特徵部件包括一第一節距,且該等第二複數個細長形特徵部件包括大於該第一節距的一第二節距, 其中該第一蝕刻製程包括使用四氟化碳,且該第一蝕刻製程包括一壓力在約40 mTorr與約100 mTorr之間, 其中該第一蝕刻製程不使用氧氣。
  2. 如請求項1所述之半導體裝置的形成方法,其中該第一蝕刻製程更包括使用溴化氫及氯氣。
  3. 如請求項1所述之半導體裝置的形成方法,其中該第二節距相對於該第一節距之一比率在約1.1與約2.0之間。
  4. 如請求項1所述之半導體裝置的形成方法,其中該第二蝕刻製程包括使用氯氣、溴化氫或氧氣的一乾式蝕刻製程。
  5. 如請求項1所述之半導體裝置的形成方法,其中該第二蝕刻製程包括使用一含氮反應物的一氮化製程。
  6. 如請求項5所述之半導體裝置的形成方法,其中該含氮反應物包括氮氣。
  7. 如請求項1所述之半導體裝置的形成方法,其中該第三蝕刻製程包括氯氣。
  8. 如請求項7所述之半導體裝置的形成方法,其中該第三蝕刻製程不使用氧氣及溴化氫。
  9. 一種半導體裝置的形成方法,包括: 提供一加工物,其中該加工物包括: 一基板, 複數個半導體鰭片,位於該基板上,其中該等半導體鰭片的每一者藉由一隔離特徵部件與該等半導體鰭片的另一者彼此隔開,以及 一介電層,順應性地沉積於該等半導體鰭片之上; 沉積一閘極材料層於該加工物之上,其中該閘極材料層包括該等半導體鰭片的一頂表面之上的一第一厚度; 形成一經過圖案化的硬罩幕於該閘極材料層之上,其中該經過圖案化的硬罩幕包括第一複數個細長形特徵部件及第二複數個細長形特徵部件; 使用該經過圖案化的硬罩幕作為一蝕刻罩幕,進行一第一蝕刻製程穿過該閘極材料層,以形成一溝槽延伸穿過該第一厚度的一實質部分; 使用該經過圖案化的硬罩幕作為一蝕刻罩幕,進行一第二蝕刻製程,以將該溝槽延伸到該隔離特徵部件的一頂表面;以及 使用該經過圖案化的硬罩幕作為一蝕刻罩幕,進行一第三蝕刻製程,以將該溝槽延伸到進入該隔離特徵部件之中, 其中該等第一複數個細長形特徵部件包括一第一節距,且該等第二複數個細長形特徵部件包括大於該第一節距的一第二節距, 其中該第一蝕刻製程及該第三蝕刻製程不使用氧氣,且該第一蝕刻製程包括使用氧氣。
  10. 如請求項9所述之半導體裝置的形成方法,其中該第一蝕刻製程包括使用溴化氫、四氟化碳及氯氣。
  11. 如請求項9所述之半導體裝置的形成方法,其中該第一蝕刻製程包括一壓力在約40 mTorr與約100 mTorr之間。
  12. 如請求項9所述之半導體裝置的形成方法,其中該第二節距相對於該第一節距之一比率在約1.1與約2.0之間。
  13. 如請求項9所述之半導體裝置的形成方法,其中該第二蝕刻製程包括使用氯氣、溴化氫或氧氣的一乾式蝕刻製程。
  14. 如請求項9所述之半導體裝置的形成方法,其中該第三蝕刻製程包括氯氣。
  15. 如請求項9所述之半導體裝置的形成方法,其中該第二蝕刻製程包括一氮化製程,以將氮導入該介電層中。
  16. 如請求項15所述之半導體裝置的形成方法,其中該氮化製程包括使用氮氣。
  17. 一種半導體裝置的形成方法,包括: 提供一加工物,其中該加工物包括: 一基板, 複數個半導體鰭片,位於該基板上,其中該等半導體鰭片的每一者藉由一隔離特徵部件與該等半導體鰭片的另一者彼此隔開,以及 一氧化矽層,順應性地沉積於該等半導體鰭片之上; 沉積一閘極材料層於該加工物之上,其中該閘極材料層包括該等半導體鰭片的一頂表面之上的一第一厚度; 形成一經過圖案化的硬罩幕於該閘極材料層之上,其中該經過圖案化的硬罩幕包括第一複數個細長形特徵部件及第二複數個細長形特徵部件; 使用該經過圖案化的硬罩幕作為一蝕刻罩幕,進行一第一蝕刻製程穿過該閘極材料層,以形成一溝槽延伸穿過該第一厚度的一實質部分; 使用該經過圖案化的硬罩幕作為一蝕刻罩幕,進行一第二蝕刻製程,以將該溝槽延伸到該隔離特徵部件的一頂表面;以及 使用該經過圖案化的硬罩幕作為一蝕刻罩幕,進行一第三蝕刻製程,以將該溝槽延伸到進入該隔離特徵部件之中, 其中該等第一複數個細長形特徵部件包括一第一節距,且該等第二複數個細長形特徵部件包括一第二節距,其中該第二節距為該第一節距的約1.1倍與約2.0倍之間, 其中該第一蝕刻製程包括四氟化碳,且該第一蝕刻製程不使用氧氣, 其中該第三蝕刻製程不使用氧氣及溴化氫,且該第三蝕刻製程包括氯氣。
  18. 如請求項17所述之半導體裝置的形成方法,其中該第一蝕刻製程更包括使用溴化氫及氯氣。
  19. 如請求項18所述之半導體裝置的形成方法,其中該第一蝕刻製程包括一壓力在約40 mTorr與約100 mTorr之間。
  20. 如請求項17所述之半導體裝置的形成方法,其中該第二蝕刻製程包括一氮化製程,以將該氧化矽層的一部分轉換成氮氧化矽。
TW110100390A 2020-02-25 2021-01-06 半導體裝置的形成方法 TWI815072B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/800,871 US11264282B2 (en) 2020-02-25 2020-02-25 Gate formation process
US16/800,871 2020-02-25

Publications (2)

Publication Number Publication Date
TW202147398A true TW202147398A (zh) 2021-12-16
TWI815072B TWI815072B (zh) 2023-09-11

Family

ID=77367031

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110100390A TWI815072B (zh) 2020-02-25 2021-01-06 半導體裝置的形成方法

Country Status (5)

Country Link
US (2) US11264282B2 (zh)
KR (1) KR102360542B1 (zh)
CN (1) CN113380704A (zh)
DE (1) DE102020105664B4 (zh)
TW (1) TWI815072B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11094695B2 (en) * 2019-05-17 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device and method of forming the same

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR200199461Y1 (ko) 1998-07-08 2000-11-01 한영우 운반기구용 고정구
US6638874B2 (en) * 2002-03-14 2003-10-28 Applied Materials, Inc Methods used in fabricating gates in integrated circuit device structures
US6855643B2 (en) * 2002-07-12 2005-02-15 Padmapani C. Nallan Method for fabricating a gate structure
EP1646083B1 (en) * 2004-10-08 2016-08-31 Imec Alternative dual damascene patterning approach
KR100919804B1 (ko) * 2007-06-28 2009-10-01 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조방법
US7829466B2 (en) 2009-02-04 2010-11-09 GlobalFoundries, Inc. Methods for fabricating FinFET structures having different channel lengths
KR101785447B1 (ko) 2011-05-26 2017-10-16 삼성전자 주식회사 반도체 소자의 제조 방법
US8736084B2 (en) 2011-12-08 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for E-beam in-chip overlay mark
US8837810B2 (en) 2012-03-27 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for alignment in semiconductor device fabrication
US9404743B2 (en) 2012-11-01 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for validating measurement data
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9304403B2 (en) 2013-01-02 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for lithography alignment
US8716841B1 (en) 2013-03-14 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography mask and process
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
CN107818943B (zh) * 2013-11-28 2019-03-29 中国科学院微电子研究所 半导体装置及其制造方法
US9134633B2 (en) 2013-12-23 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for dark field inspection
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
KR102509925B1 (ko) 2015-12-03 2023-03-15 삼성전자주식회사 반도체 소자의 제조 방법
TWI612674B (zh) 2016-03-24 2018-01-21 台灣積體電路製造股份有限公司 鰭式場效電晶體及其製造方法
US9548366B1 (en) * 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US10217741B2 (en) 2016-08-03 2019-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure and method of forming same through two-step etching processes
US10079180B1 (en) * 2017-03-14 2018-09-18 United Microelectronics Corp. Method of forming a semiconductor device
US10374058B2 (en) 2017-09-15 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US11462436B2 (en) * 2017-11-30 2022-10-04 Intel Corporation Continuous gate and fin spacer for advanced integrated circuit structure fabrication
DE102018127446B4 (de) * 2017-11-30 2023-11-16 Taiwan Semiconductor Manufacturing Co. Ltd. Metallschienenleiter für nichtplanare Halbleiterbauteile und Verfahren zur Bildung derselben
US10720526B2 (en) 2018-06-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stress modulation for dielectric layers
US11355339B2 (en) 2018-06-29 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Forming nitrogen-containing layers as oxidation blocking layers

Also Published As

Publication number Publication date
US11264282B2 (en) 2022-03-01
US20210265219A1 (en) 2021-08-26
DE102020105664A1 (de) 2021-08-26
TWI815072B (zh) 2023-09-11
CN113380704A (zh) 2021-09-10
KR102360542B1 (ko) 2022-02-09
DE102020105664B4 (de) 2022-04-14
KR20210108853A (ko) 2021-09-03
US20220181215A1 (en) 2022-06-09
US11652003B2 (en) 2023-05-16

Similar Documents

Publication Publication Date Title
US10825690B2 (en) Semiconductor structures
TWI791627B (zh) 半導體結構與裝置及其形成方法
TWI713089B (zh) 積體電路結構的形成方法
US9425053B2 (en) Block mask litho on high aspect ratio topography with minimal semiconductor material damage
CN107346759B (zh) 半导体结构及其制造方法
TW201820413A (zh) 半導體裝置結構的形成方法
TWI815116B (zh) 半導體結構的製造方法
US10748768B2 (en) Method for mandrel and spacer patterning
US11626326B2 (en) Interconnect structures for semiconductor devices and methods of manufacturing the same
US11652003B2 (en) Gate formation process
CN110690117A (zh) 半导体结构及其形成方法
US11145760B2 (en) Structure having improved fin critical dimension control
US9711369B2 (en) Method for forming patterns with sharp jogs
US20230230884A1 (en) Interconnect structures for semiconductor devices and methods of manufacturing the same
TW202336870A (zh) 半導體裝置與其形成方法
TW202343586A (zh) 半導體結構與其形成方法
TW202425220A (zh) 半導體裝置及其形成的方法
TW202234474A (zh) 半導體裝置的形成方法