DE102018118635A1 - Verfahren zur Herstellung von Halbleitervorrichtungen und eine Halbleitervorrichtung - Google Patents

Verfahren zur Herstellung von Halbleitervorrichtungen und eine Halbleitervorrichtung Download PDF

Info

Publication number
DE102018118635A1
DE102018118635A1 DE102018118635.5A DE102018118635A DE102018118635A1 DE 102018118635 A1 DE102018118635 A1 DE 102018118635A1 DE 102018118635 A DE102018118635 A DE 102018118635A DE 102018118635 A1 DE102018118635 A1 DE 102018118635A1
Authority
DE
Germany
Prior art keywords
implantation
zone
carbon
type
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102018118635.5A
Other languages
English (en)
Inventor
Chun-Hung Chen
Chih-Hung Hsieh
Jhon Jhy Liaw
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018118635A1 publication Critical patent/DE102018118635A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26533Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically inactive species in silicon to make buried insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/761PN junctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0921Means for preventing a bipolar, e.g. thyristor, action between the different transistor regions, e.g. Latchup prevention
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

Bei einem Verfahren zur Herstellung einer Halbleitervorrichtung wird in einem Halbleitersubstrat eine Implantationszone eines ersten Leitfähigkeitstyps gebildet und in einer seitlichen Grenzzone der Implantationszone des ersten Leitfähigkeitstyps wird eine Kohlenstoffimplantationszone gebildet.

Description

  • TECHNISCHES GEBIET
  • Die Offenbarung betrifft Verfahren zur Herstellung von integrierten Halbleiterschaltungen und insbesondere ein Verfahren zur Herstellung von Halbleitervorrichtungen, welche Komplementär-Metall-Oxid-Halbleiter-Feldeffekttransistoren (CMOS-FETs) umfassen.
  • HINTERGRUND
  • CMOS-FETs werden aufgrund ihres niedrigen Energieverbrauchs verwendet. Bei CMOS-FETs ist es jedoch eines der Probleme der Vorrichtungs- und Verfahrenstechnologien, ein Latch-up zu verhindern. Mit zunehmender Verkleinerung integrierter Schaltungen und zunehmenden Anforderungen an die Geschwindigkeit integrierter Schaltungen werden wirksamere Maßnahmen zur Verhinderung eines Latch-up erforderlich.
  • Figurenliste
  • Erscheinungsformen der vorliegenden Offenbarung sind am besten zu verstehen aus der folgenden detaillierten Beschreibung in Verbindung mit den begleitenden Figuren. Es sei angemerkt, dass gemäß der üblichen Praxis in der Technik verschiedene Elemente nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Elemente zur Verdeutlichung der Beschreibung beliebig vergrößert oder verkleinert sein.
    • 1A zeigt eine Querschnittsansicht einer Halbleitervorrichtung gemäß einer Ausführungsform der vorliegenden Offenbarung. 1B zeigt eine Querschnittsansicht einer anderen Halbleitervorrichtung gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 2 veranschaulicht eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 3 veranschaulicht eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 4 veranschaulicht eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 5 veranschaulicht eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 6 veranschaulicht eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 7 veranschaulicht eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 8 veranschaulicht eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 9 veranschaulicht eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 10 veranschaulicht eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 11 veranschaulicht eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 12 veranschaulicht eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 13 zeigt eine Draufsicht auf einen statischen Direktzugriffsspeicher gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 14 veranschaulicht eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer anderen Ausführungsform der vorliegenden Offenbarung.
    • 15 zeigt eine Querschnittsansicht einer Halbleitervorrichtung gemäß einer anderen Ausführungsform der vorliegenden Offenbarung.
  • DETAILLIERTE BESCHREIBUNG
  • Es versteht sich, dass in der folgenden Offenbarung viele verschiedene Ausführungsformen oder Beispiele für die Realisierung verschiedener Merkmale der Erfindung vorgestellt werden. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Beispielsweise sind die Abmessungen der Elemente nicht auf den offenbarten Bereich oder die offenbarten Werte beschränkt, sondern können von Verfahrensbedingungen und/oder gewünschten Eigenschaften der Vorrichtung abhängen. Außerdem kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei welchen das erste und zweite Merkmal in direktem Kontakt gebildet werden, und kann auch Ausführungsformen umfassen, bei welchen zwischen dem ersten und zweiten Merkmal zusätzliche Merkmale gebildet werden, so dass das erste und zweite Merkmal nicht in direktem Kontakt stehen. Verschiedene Merkmale können zur Vereinfachung und Verdeutlichung beliebig in verschiedenen Maßstäben gezeichnet sein. In den begleitenden Zeichnungen können einige Schichten/Merkmale zur Vereinfachung weggelassen sein.
  • Ferner können hierin zur Vereinfachung der Beschreibung Begriffe der räumlichen Beziehung wie „unterhalb“, „unter“, „untere“, „über“, „obere“ und dergleichen verwendet werden, um die Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) zu beschreiben, wie in den Figuren veranschaulicht. Die Begriffe der räumlichen Beziehung sollen zusätzlich zu der Orientierung, die in den Figuren abgebildet sind, andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht sein oder andere Orientierungen aufweisen) und die hierin verwendeten Deskriptoren der räumlichen Beziehung können gleichermaßen entsprechend interpretiert werden. Außerdem kann der Begriff „hergestellt aus“ entweder „umfassend“ oder „bestehend aus“ bedeuten. Ferner kann es bei dem folgenden Herstellungsverfahren eine oder mehrere zusätzliche Operationen zwischen den beschriebenen Operationen geben und die Reihenfolge der Operationen kann geändert werden. In der vorliegenden Offenbarung bedeutet der Ausdruck „mindestens eines aus A, B und C“ eines aus A, B, C, A+B, A+C, B+C oder A+B+C und bedeutet nicht eines aus A, eines aus B und eines aus C, sofern nicht anders erläutert.
  • Die offenbarten Ausführungsformen betreffen eine Halbleitervorrichtung, insbesondere einen CMOS-FET, beispielsweise einen Finnen-Feldeffekttransistor (FinFET) und dessen Herstellungsverfahren. Die Ausführungsformen, z.B. jene hierin offenbarten, sind allgemein anwendbar nicht nur auf FinFETs, sondern auch auf einen planaren FET, einen Doppel-Gate-FET, einen FET mit umlaufenden Gate, einen Omega-Gate-FET oder einen Gateall-around(GAA)-FET und/oder einen Nanodraht-FET oder eine beliebige Vorrichtung mit einer dreidimensionalen Kanalstruktur.
  • 1A zeigt eine Querschnittsansicht einer Halbleitervorrichtung gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 1A dargestellt, ist in einem Halbleitersubstrat 100 eine Wanne des p-Typs PW und eine Wanne des n-Typs NW ausgebildet. Die Wanne des p-Typs PW enthält Verunreinigungen des p-Typs, wie z.B. Bor, und die Wanne des n-Typs NW enthält Verunreinigungen des n-Typs, wie z.B. Phosphor und Arsen. Ein FET des n-Typs NFET ist über der Wanne des p-Typs PW angeordnet und ein FET des p-Typs PFET ist über der Wanne des n-Typs NW angeordnet. Bei den FETs des -Typs und des n-Typs kann es sich um beliebige FETs handeln, beispielsweise einen FET des planaren Typs, einen FinFET und einen GAA-FET. Das Substrat 100 kann ein Substrat des p-Typs oder ein Halbleitersubstrat des n-Typs sein. In einigen Ausführungsformen kann das Substrat 100 aus einem geeigneten elementaren Halbleiter, wie z.B. Silizium, Diamant oder Germanium; einem geeigneten Legierungs- oder Verbindungshalbleiter, wie z.B. Gruppe-IV-Verbindungshalbleitern (Siliziumgermanium (SiGe), Siliziumcarbid (SiC), Siliziumgermaniumcarbid (SiGeC), GeSn, SiSn, SiGeSn), Gruppe-III-V-Verbindungshalbleitern (z.B. Galliumarsenid (GaAs), Indiumgalliumarsenid (InGaAs), Indiumarsenid (InAs), Indiumphosphid (InP), Indiumantimonid (InSb), Galliumarsenphosphid (GaAsP) oder Galliumindiumphosphid (GaInP)) oder Ähnlichem hergestellt sein. Ferner kann das Substrat 100 eine epitaxiale Schicht (epi-Schicht) umfassen, welche zur Leistungsverbesserung verspannt sein kann, und/oder kann eine Silizium-auf-Isolator(Silicon-On-Insulator, SOI)-Struktur umfassen. In einer Ausführungsform wird ein Siliziumsubstrat des p-Typs verwendet.
  • Wie in 1A dargestellt, wird in den vorliegenden Ausführungsformen eine Kohlenstoffbarrierezone CB, welche durch Implantieren von Kohlenstoff gebildet wird, an der Grenzzone der Wanne des p-Typs PW und der Wanne des n-Typs NW oder um diese herum gebildet. Die Kohlenstoffbarrierezone kann einen Leckstrom an einem PN-Übergang verhindern, der durch die Wanne des p-Typs und die Wanne des n-Typs gebildet wird, und kann somit ein Latch-up verhindern.
  • 1B zeigt eine Querschnittsansicht einer Halbleitervorrichtung gemäß einer anderen Ausführungsform der vorliegenden Erfindung. In dieser Ausführungsform ist das Substrat 100 ein Substrat des p-typs und es ist eine Wanne des n-Typs NW ausgebildet. Die Kohlenstoffbarrierezone CB ist an der vertikalen Grenzfläche zwischen der Wanne des n-Typs NW und dem Substrat 100 und um diese herum ausgebildet. Ähnlich wie bei 1A kann die Kohlenstoffbarrierezone CB einen Leckstrom an einem PN-Übergang verhindern, der durch das Substrat des p-Typs und die Wanne des n-Typs gebildet wird, und kann somit ein Latch-up verhindern.
  • 2 bis 12 zeigen Querschnittsansichten einer schrittweisen Herstellungsoperation für eine Halbleitervorrichtung gemäß einer Ausführungsform der vorliegenden Offenbarung. Es versteht sich, dass für weitere Ausführungsformen des Verfahrens vor, während und nach den Verfahren, die in 2 bis 12 dargestellt sind, zusätzliche Operationen vorgesehen sein können und einige der nachstehend beschriebenen Operationen ersetzt oder weggelassen werden können. Die Reihenfolge der Operationen/Verfahren kann austauschbar sein. 2 bis 12 entsprechen der Linie X1-X1 der 13, welche eine Draufsicht auf die statischen Direktzugriffsspeicherzellen ist.
  • Wie in 2 dargestellt, wird über einem Substrat 100 eine erste Maskenstruktur 20 gebildet. Die erste Maskenstruktur 20 ist beispielsweise eine Photoresiststruktur, welche durch eine oder mehrere Lithographieoperationen gebildet wird. Die erste Maskenstruktur 20 bedeckt Bereiche, in welchen anschließend Wannen des n-Typs NW gebildet werden, und weist Öffnungen auf, unter welchen anschließend Wannen des p-Typs PW gebildet werden.
  • Dann werden, wie in 3 dargestellt, ein oder mehrere Ionenimplantationsverfahren 22 durchgeführt, um die Verunreinigungen des p-Typs in das Substrat 100 einzubringen. In einigen Ausführungsformen werden drei Ionenimplantationsverfahren durchgeführt, um eine tiefe Implantationszone des p-Typs PW1, eine mittlere Implantationszone des p-Typs PW2 über der tiefen Implantationszone des p-Typs PW1 und eine flache Implantationszone des p-Typs PW3 über der mittleren Implantationszone des p-Typs PW2 zu bilden. Außerdem wird in einigen Ausführungsformen durch ein zusätzliches Ionenimplantationsverfahren eine Anti-Durchschlags-Implantationszone PW4 über der flachen Implantationszone des p-Typs PW3 gebildet.
  • In einigen Ausführungsformen wird die tiefe Implantationszone des p-Typs PW1 durch Implantieren von Bor (BF2 ) mit einer Beschleunigungsenergie in einem Bereich von etwa 30 keV bis etwa 70 keV und in anderen Ausführungsformen in einem Bereich von etwa 40 keV bis etwa 60 keV gebildet. Die Mitte der tiefen Implantationszone des p-Typs PW1 ist in etwa 130 nm bis etwa 220 nm Tiefe von der Oberfläche des Substrats 100 angeordnet. Die Dosismenge für die tiefe Implantationszone des p-Typs PW1 liegt in einem Bereich von etwa 1 × 1013 Ionen/cm2 bis etwa 5 × 1013 Ionen/cm2 und liegt in anderen Ausführungsformen in einem Bereich von etwa 2 × 1013 Ionen/cm2 bis 4 × 1013 Ionen/cm2.
  • In einigen Ausführungsformen wird die mittlere Implantationszone des p-Typs PW2 durch Implantieren von Bor (BF2 ) mit einer Beschleunigungsenergie in einem Bereich von etwa 5 keV bis etwa 25 keV und in anderen Ausführungsformen in einem Bereich von etwa 10 keV bis etwa 20 keV gebildet. Die Mitte der tiefen Implantationszone des p-Typs PW2 ist in etwa 70 nm bis etwa 140 nm Tiefe von der Oberfläche des Substrats 100 angeordnet. Die Dosismenge für die mittlere Implantationszone des p-Typs PW2 liegt in einem Bereich von etwa 5 × 1012 Ionen/cm2 bis etwa 5 × 1013 Ionen/cm2 und liegt in anderen Ausführungsformen in einem Bereich von etwa 1 × 1013 Ionen/cm2 bis 3 × 1013 Ionen/cm2. In einigen Ausführungsformen ist die Dosismenge für die mittlere Implantationszone des p-Typs PW2 geringer als die Dosismenge für die tiefe Implantationszone des p-Typs PW1.
  • In einigen Ausführungsformen wird die flache Implantationszone des p-Typs PW3 durch Implantieren von Bor (BF2 ) mit einer Beschleunigungsenergie in einem Bereich von etwa 5 keV bis etwa 25 keV und in anderen Ausführungsformen in einem Bereich von etwa 10 keV bis etwa 20 keV gebildet. Die Mitte der flachen Implantationszone des p-Typs PW3 ist in etwa 35 nm bis etwa 85 nm Tiefe von der Oberfläche des Substrats 100 angeordnet. Die Dosismenge für die flache Implantationszone des p-Typs PW3 liegt in einem Bereich von etwa 1 × 1013 Ionen/cm2 bis etwa 5 × 1013 Ionen/cm2 und liegt in anderen Ausführungsformen in einem Bereich von etwa 2 × 1013 Ionen/cm2 bis 4 × 1013 Ionen/cm2. In einigen Ausführungsformen ist die Dosismenge für die flache Implantationszone des p-Typs PW3 höher als die Dosismenge für die mittlere Implantationszone des p-Typs PW2.
  • In einigen Ausführungsformen wird die Anti-Durchschlags-Implantationszone PW4 durch Implantieren von Bor (BF2 ) mit einer Beschleunigungsenergie in einem Bereich von etwa 1 keV bis etwa 10 keV und in anderen Ausführungsformen in einem Bereich von etwa 2 keV bis etwa 8 keV gebildet. Die Mitte der Anti-Durchschlags-Implantationszone PW4 ist in etwa 8 nm bis etwa 35 nm Tiefe von der Oberfläche des Substrats 100 angeordnet. Die Dosismenge für die Anti-Durchschlags-Implantationszone PW4 liegt in einem Bereich von etwa 2 × 1013 Ionen/cm2 bis etwa 2 × 1014 Ionen/cm2 und liegt in anderen Ausführungsformen in einem Bereich von etwa 5 × 1013 Ionen/cm2 bis 1 × 1014 Ionen/cm2. In einigen Ausführungsformen ist die Dosismenge für die Anti-Durchschlags-Implantationszone PW4 höher als die Dosismengen für die tiefe, mittlere und flache Implantationszone des p-Typs.
  • Die Ionen für die Implantationszonen PW1, PW2, PW3 und/oder PW4 werden in einigen Ausführungsformen entlang der Normalenrichtung des Substrats 100 implantiert und in anderen Ausführungsformen ist die Implantationsrichtung der Ionen um etwa 7 bis 8 Grad gegenüber der Normalenrichtung geneigt, um Gitterführungseffekte zu vermeiden. Wenn die Implantationsrichtung geneigt ist, können zwei oder vier Implantationsoperationen durchgeführt werden, wobei das Substrat um 180 Grad oder 90 Grad innerhalb seiner Oberflächenebene gedreht wird. Wie in 3 dargestellt, dehnen sich aufgrund der Streuung durch das Gitter des Substrats 100 die implantierten Zonen bis unterhalb der ersten Maskenstruktur 20 aus. Je tiefer die implantierte Zone, desto stärker wird die Ausdehnung.
  • Dann werden, wie in 4 dargestellt, Kohlenstoffionen 25 in das Substrat 100 implantiert. In einigen Ausführungsformen ist die Implantationsrichtung der Kohlenstoffionen um Θ1 Grad und -Θ1 Grad in Bezug auf die Normalenlinie NL des Substrats 100 geneigt. Mit anderen Worten, die Kohlenstoffimplantationsoperation umfasst eine erste Implantationsoperation mit dem Neigungswinkel Θ1 Grad und eine zweite Implantationsoperation mit dem Neigungswinkel -Θ1 Grad. Die zweite Implantationsoperation mit dem Neigungswinkel -Θ1 Grad kann durchgeführt werden, indem das Substrat 100 innerhalb seiner Oberflächenebene gedreht wird.
  • In einigen Ausführungsformen liegt der Neigungswinkel Θ1 in einem Bereich von etwa 5 Grad bis etwa 18 Grad und in anderen Ausführungsformen liegt er in einem Bereich von etwa 7 Grad bis etwa 15 Grad. In einigen Ausführungsformen ist der Neigungswinkel Θ1 größer oder gleich einem Winkel Θ0, welcher ein Winkel zwischen der Normalenrichtung NL und einer Linie Li ist, die in 4 dargestellt ist, und durch die Breite Wi der Maskenöffnung und die Dicke T1 der ersten Maskenstruktur 20 definiert ist. In einigen Ausführungsformen liegt die Breite Wi in einem Bereich von etwa 50 nm bis etwa 200 nm und die Dicke T1 liegt in einem Bereich von etwa 400 nm bis etwa 600 nm. Wie in 4 dargestellt, werden die ersten Kohlenstoffimplantationszonen CB1 unterhalb der Ränder der ersten Maskenstruktur 20 gebildet.
  • In einigen Ausführungsformen wird die erste Kohlenstoffimplantationszone CB1 durch Implantieren von Kohlenstoff mit einer Beschleunigungsenergie in einem Bereich von etwa 10 keV bis etwa 60 keV und in anderen Ausführungsformen in einem Bereich von etwa 5 keV bis etwa 50 keV gebildet. Die Mitte der ersten Kohlenstoffimplantationszone CB1 ist in etwa 45 nm bis etwa 165 nm Tiefe von der Oberfläche des Substrats 100 angeordnet. Wie in 4 dargestellt, ist die erste Kohlenstoffimplantationszone CB1 in einigen Ausführungsformen zwischen der mittleren und der flachen Implantationszone des p-Typs PW2 und PW3 angeordnet. Die Dosismenge für die erste Kohlenstoffimplantationszone CB1 liegt in einem Bereich von etwa 1 × 1013 Ionen/cm2 bis etwa 2 × 1014 Ionen/cm2 und liegt in anderen Ausführungsformen in einem Bereich von etwa 3 × 1013 Ionen/cm2 bis 1 × 1014 Ionen/cm2. Die Kohlenstoffionenimplantation kann in einigen Ausführungsformen vor den Implantationsverfahren für die Implantationszonen des p-Typs PW1 bis PW4 durchgeführt werden. Anschließend wird die erste Maskenstruktur 20 entfernt. Die Dotierungskonzentration der Kohlenstoffimplantationszone CB1 liegt in einigen Ausführungsformen in einem Bereich von etwa 1 × 1018 Ionen/cm2 bis etwa 2 × 1019 Ionen/cm2.
  • Anschließend wird, wie in 5 dargestellt, eine zweite Maskenstruktur 30 über dem Substrat 100 gebildet. In 5 und 6 sind die Implantationszonen des p-Typs und die Kohlenstoffimplantationszonen zur Vereinfachung nicht dargestellt. Die zweite Maskenstruktur 30 ist beispielsweise eine Photoresiststruktur, die durch eine oder mehrere Lithographieoperationen gebildet wird. Die zweite Maskenstruktur 30 bedeckt Bereiche, in welchen Wannen des p-Typs PW ausgebildet sind und weist Öffnungen auf, unter welchen anschließend Wannen des n-Typs NW gebildet werden. Wie in 5 dargestellt, werden ein oder mehrere Implantationsverfahren 32 durchgeführt, um Verunreinigungen des n-Typs in das Substrat 100 einzubringen. In einigen Ausführungsformen zwei Implantationsverfahren durchgeführt, um eine tiefe Implantationszone des n-Typs NW1 und eine flache Implantationszone des n-Typs NW2 über der tiefen Implantationszone des n-Typs NW1 zu bilden. Außerdem wird in einigen Ausführungsformen über der flachen Implantationszone des n-Typs NW2 durch ein zusätzliches Ionenimplantationsverfahren eine Anti-Durchschlags-Implantationszone des n-Typs NW3 gebildet.
  • In einigen Ausführungsformen wird die tiefe Implantationszone des n-Typs NW1 durch Implantieren von Phosphor (P) oder Arsen (As) mit einer Beschleunigungsenergie in einem Bereich von etwa 70 keV bis etwa 150 keV und in anderen Ausführungsformen in einem Bereich von etwa 80 keV bis etwa 140 keV gebildet. Die Mitte der tiefen Implantationszone des n-Typs NW1 ist in etwa 100 nm bis etwa 200 nm Tiefe von der Oberfläche des Substrats 100 angeordnet. Die Dosismenge für die tiefe Implantationszone des n-Typs NW1 liegt in einem Bereich von etwa 2 × 1013 Ionen/cm2 bis etwa 8 × 1013 Ionen/cm2 und liegt in anderen Ausführungsformen in einem Bereich von etwa 3 × 1013 Ionen/cm2 bis 6 × 1013 Ionen/cm2.
  • In einigen Ausführungsformen wird die flache Implantationszone des n-Typs NW2 durch Implantieren von Phosphor oder Arsen mit einer Beschleunigungsenergie in einem Bereich von etwa 40 keV bis etwa 70 keV und in anderen Ausführungsformen in einem Bereich von etwa 30 keV bis etwa 60 keV gebildet. Die Mitte der flachen Implantationszone des n-Typs NW2 ist in etwa 40 nm bis etwa 90 nm Tiefe von der Oberfläche des Substrats 100 angeordnet. Die Dosismenge für die flache Implantationszone des n-Typs NW2 liegt in einem Bereich von etwa 2 × 1013 Ionen/cm2 bis etwa 8 × 1013 Ionen/cm2 und liegt in anderen Ausführungsformen in einem Bereich von etwa 3 × 1013 Ionen/cm2 bis 6 × 1013 Ionen/cm2. In einigen Ausführungsformen ist die Dosismenge für die flache Implantationszone des n-Typs NW2 die gleiche wie oder eine andere als die Dosismenge für die tiefe Implantationszone des n-Typs NW1.
  • In einigen Ausführungsformen wird die Anti-Durchschlags-Implantationszone NW3 durch Implantieren von Phosphor oder Arsen mit einer Beschleunigungsenergie in einem Bereich von etwa 3 keV bis etwa 25 keV und in anderen Ausführungsformen in einem Bereich von etwa 5 keV bis etwa 20 keV gebildet. Die Mitte der Anti-Durchschlags-Implantationszone NW3 ist in etwa 8 nm bis etwa 35 nm Tiefe von der Oberfläche des Substrats 100 angeordnet. Die Dosismenge für die Anti-Durchschlags-Implantationszone NW3 liegt in einem Bereich von etwa 2 × 1013 Ionen/cm2 bis etwa 2 × 1014 Ionen/cm2 und liegt in anderen Ausführungsformen in einem Bereich von etwa 5 × 1013 Ionen/cm2 bis 1 × 1014 Ionen/cm2. In einigen Ausführungsformen ist die Dosismenge für die Anti-Durchschlags-Implantationszone NW3 höher als die Dosismangen für die tiefe und flache Implantationszone des n-Typs.
  • Die Ionen für die Implantationszonen NW1, NW2 und/oder NW3 werden in einigen Ausführungsformen entlang der Normalenrichtung des Substrats 100 implantiert und in anderen Ausführungsformen ist die Implantationsrichtung der Ionen um etwa 7 bis 8 Grad gegenüber der Normalenrichtung geneigt, um Gitterführungseffekte zu vermeiden. Wenn die Implantationsrichtung geneigt ist, können zwei oder vier Implantationsoperationen durchgeführt werden, wobei das Substrat um 180 Grad oder 90 Grad innerhalb seiner Oberflächenebene gedreht wird. Wie in 5 dargestellt, dehnen sich aufgrund der Streuung durch das Gitter des Substrats 100 die implantierten Zonen bis unterhalb der zweiten Maskenstruktur 30 aus. Je tiefer die implantierte Zone, desto stärker wird die Ausdehnung
  • Dann werden, wie in 6 dargestellt, Kohlenstoffionen 35 in das Substrat 100 implantiert. In einigen Ausführungsformen wird für die Kohlenstoffimplantation dieselbe zweite Maskenstruktur 30 benutzt. In einigen Ausführungsformen ist die Implantationsrichtung der Kohlenstoffionen um Θ2 Grad und -Θ2 Grad in Bezug auf die Normalenlinie NL des Substrats 100 geneigt. In einigen Ausführungsformen liegt der Neigungswinkel Θ2 in einem Bereich von etwa 5 Grad bis etwa 18 Grad und in anderen Ausführungsformen liegt er in einem Bereich von etwa 7 Grad bis etwa 15 Grad. In einigen Ausführungsformen ist der Neigungswinkel Θ2 größer oder gleich einem Winkel Θ0', welcher ein Winkel zwischen der Normalenrichtung NL und einer Linie L2 ist, die in 6 dargestellt ist, und durch die Breite W2 der Maskenöffnung und die Dicke T2 der zweiten Maskenstruktur 30 definiert ist. In einigen Ausführungsformen liegt die Breite W2 in einem Bereich von etwa 50 nm bis etwa 200 nm und die Dicke T2 liegt in einem Bereich von etwa 400 nm bis etwa 600 nm. Wie in 6 dargestellt, werden die zweiten Kohlenstoffimplantationszonen CB2 unterhalb der Ränder der zweiten Maskenstruktur 30 gebildet. In einigen Ausführungsformen ist Θ2 kleiner oder gleich Θ1.
  • In einigen Ausführungsformen wird die zweite Kohlenstoffimplantationszone CB2. durch Implantieren von Kohlenstoff mit einer Beschleunigungsenergie in einem Bereich von etwa 10 keV bis etwa 60 keV und in anderen Ausführungsformen in einem Bereich von etwa 5 keV bis etwa 50 keV gebildet. Die Mitte der zweiten Kohlenstoffimplantationszone CB2 ist in etwa 45 nm bis etwa 165 nm Tiefe von der Oberfläche des Substrats 100 angeordnet. Wie in 4 dargestellt, ist die zweite Kohlenstoffimplantationszone CB2 in einigen Ausführungsformen zwischen der tiefen und der flachen Implantationszone des n-Typs NW1 und NW2 angeordnet. In einigen Ausführungsformen wird die zweite Kohlenstoffimplantationszone CB2 tiefer gebildet als die erste Kohlenstoffimplantationszone CB1. Die Dosismenge für die zweite Kohlenstoffimplantationszone CB2 liegt in einem Bereich von etwa 1 × 1013 Ionen/cm2 bis etwa 2 × 1014 Ionen/cm2 und liegt in anderen Ausführungsformen in einem Bereich von etwa 3 × 1013 Ionen/cm2 bis 1 × 1014 Ionen/cm2. Die Kohlenstoffionenimplantation kann in einigen Ausführungsformen vor den Implantationsverfahren für die Implantationszonen des n-Typs NW1 bis NW3 durchgeführt werden. Die Dotierungskonzentration der Kohlenstoffimplantationszone CB2 liegt in einigen Ausführungsformen in einem Bereich von etwa 1 × 1018 Ionen/cm2 bis etwa 2 × 1019 Ionen/cm2.
  • Anschließend wird die zweite Maskenstruktur 30 entfernt, wie in 7 dargestellt.
  • In den vorhergehenden Ausführungsformen werden die Implantationszonen des p-Typs PW1 bis PW4 und die erste Kohlenstoffimplantationszone CB1 mit der ersten Maskenstruktur 20 gebildet und anschließend werden die Implantationszonen des n-Typs NW1 bis NW3 und die zweite Kohlenstoffimplantationszone CB2 mit der zweiten Maskenstruktur 30 gebildet. In anderen Ausführungsformen werden die Implantationszonen des n-Typs NW1 bis NW3 und die zweite Kohlenstoffimplantationszone CB2 mit der zweiten Maskenstruktur 30 gebildet und anschließend werden die Implantationszonen des p-Typs PW1 bis PW4 und die erste Kohlenstoffimplantationszone CB1 mit der ersten Maskenstruktur 20 gebildet.
  • In bestimmten Ausführungsformen wird die erste Kohlenstoffimplantationszone CB1 mit der zweiten Maskenstruktur 30 gebildet und die zweite Kohlenstoffimplantationszone CB2 wird mit der ersten Maskenstruktur 20 gebildet. Außerdem werden in einigen Ausführungsformen die erste Kohlenstoffimplantationszone CB1 und die zweite Kohlenstoffimplantationszone CB2 unter Verwendung der ersten Maskenstruktur 20 vor den Ionenimplantationsoperationen für die Implantationszonen des p-Typs PW1 bis PW4 oder anschließend an diese gebildet. In anderen Ausführungsformen werden die erste Kohlenstoffimplantationszone CB1 und die zweite Kohlenstoffimplantationszone CB2 unter Verwendung der zweiten Maskenstruktur 30 vor den Ionenimplantationsoperationen für die Implantationszonen des n-Typs NW1 bis NW3 oder anschließend an diese gebildet.
  • Anschließend wird in einigen Ausführungsformen ein thermisches Verfahren, beispielsweise ein Temperverfahren, durchgeführt, um die implantierten Verunreinigungen zu aktivieren und um durch die Implantation beschädigte Gitter wiederherzustellen, wie in 8 dargestellt. In bestimmten Ausführungsformen wird das thermische Verfahren durch Kurzzeittempern (Rapid Thermal Anneal, RTA) in einer Inertgasumgebung, z.B. einer N2-, Ar- oder He-Umgebung, für etwa 1,5 Sekunden bis etwa 30 Sekunden bei einer Temperatur in einem Bereich von etwa 900 °C bis etwa 1050 °C durchgeführt.
  • Anschließend wird, wie in 9 dargestellt, über dem Substrat 100 eine epitaxiale Halbleiterschicht 110 gebildet. In einigen Ausführungsformen handelt es sich bei der epitaxialen Schicht 110 um dasselbe Halbleitermaterial wie bei dem Substrat 100, beispielsweise Silizium. In anderen Ausführungsformen umfasst die epitaxiale Schicht 110 ein anderes Halbleitermaterial als das Substrat 100. In bestimmten Ausführungsformen ist die epitaxiale Schicht 110 aus Gruppe-IV-Verbindungshalbleitern (Siliziumgermanium (SiGe), Siliziumcarbid (SiC), Siliziumgermaniumcarbid (SiGeC), GeSn, SiSn, SiGeSn), Gruppe-III-V-Verbindungshalbleitern (z.B. Galliumarsenid (GaAs), Indiumgalliumarsenid (InGaAs), Indiumarsenid (InAs), Indiumphosphid (InP), Indiumantimonid (InSb), Galliumarsenphosphid (GaAsP) oder Galliumindiumphosphid (GaInP)) aufgebaut. In einigen Ausführungsformen werden über dem Substrat 100 eine oder mehrere epitaxiale Schichten gebildet. Eine Dicke der epitaxialen Schicht 110 liegt in einigen Ausführungsformen einem Bereich von etwa 100 nm bis etwa 500 nm. Die epitaxiale Schicht kann durch ein oder mehrere Verfahren wie chemische Abscheidung aus der Gasphase (Chemical Vapor Deposition, CVD), Molekularstrahlepitaxie (Molecular Beam Epitaxy, MBE) und/oder Atomschichtabscheidung (Atomic Layer Deposition, ALD) gebildet werden, obgleich jedes akzeptable Verfahren angewendet werden kann.
  • Anschließend werden, wie in 10 dargestellt, eine oder mehrere Finnenstrukturen 120P für FETs des p-Typs und eine oder mehrere Finnenstrukturen 120N für FETs des n-Typs gebildet, beispielsweise über ein Strukturierungsverfahren. Die Finnenstrukturen 120 können durch ein beliebiges geeignetes Verfahren gebildet werden. Beispielsweise können die Finnenstrukturen über ein oder mehrere Photolithographieverfahren strukturiert werden, umfassend Doppelstrukturierungs- oder Mehrfachstrukturierungsverfahren. Im Allgemeinen werden bei Doppelstrukturierungs- oder Mehrfachstrukturierungsverfahren Photolithographie- und Selbstausrichtungsverfahren kombiniert, was ermöglicht, das Strukturen erzeugt werden, welche beispielsweise Mittenabstände aufweisen, die kleiner sind als solche, die ansonsten über ein einzelnes direktes Photolithographieverfahren möglich sind. Beispielsweise wird in einer Ausführungsform eine Opferschicht über einem Substrat gebildet und über ein Photolithographieverfahren strukturiert. Über ein Selbstausrichtungsverfahren werden neben der strukturierten Opferschicht Abstandhalter gebildet. Anschließend wird die Opferschicht entfernt und die verbleibenden Abstandhalter oder Dorne können dann verwendet werden, um die Finnenstrukturen zu strukturieren. In einigen Ausführungsformen werden in Nachbarschaft zu den Finnenstrukturen 120 eines aktiven FinFET eine oder mehrere Dummy-Finnenstrukturen gebildet.
  • Durch das Ätzen zum Bilden der Finnenstrukturen 120 werden die epitaxiale Schicht 110 und die obere Zone des Substrats 100 teilweise entfernt. In einigen Ausführungsformen wird beim Ätzen des Substrats 100 die Tiefe erreicht, in welcher sich die mittlere Implantationszone des p-Typs PW2 befindet, und es werden die flache Implantationszone des p-Typs PW3 und die flache Implantationszone des n-Typs NW2 durchquert. In einem solchen Fall umfasst die Finnenstruktur 120N für FETs des n-Typs einen Teil der Anti-Durchschlags-Implantationszone PW4, einen Teil der flachen Implantationszone des p-Typs PW3 und einen Teil der mittleren Implantationszone des p-Typs PW2, wie in 10 dargestellt. In ähnlicher Weise umfasst die Finnenstruktur 120P für FETs des p-Typs einen Teil der Anti-Durchschlags-Implantationszone NW3 und einen Teil der flachen Implantationszone des n-Typs NW2, wie in 10 dargestellt. In einigen Ausführungsformen ist die erste Kohlenstoffimplantationszone CB1 nicht in der Finnenstruktur 120 enthalten und in anderen Ausführungsformen ist die erste Kohlenstoffimplantationszone CB1 am Boden der Finnenstruktur 120 enthalten, insbesondere an einem schräg verlaufenden Bodenabschnitt der Finnenstruktur 120. Die flache Implantationszone des p-Typs PW3 und ein Teil der mittleren Implantationszone des p-Typs PW2 bilden eine Wanne des p-Typs in der Finnenstruktur und der Teil der mittleren Implantationszone des p-Typs PW2 und die tiefe Implantationszone des p-Typs PW1 bilden eine Wanne des p-Typs in dem Substrat. Die flache Implantationszone des n-Typs NW2 bildet eine Wanne des n-Typs in der Finnenstruktur und die tiefe Implantationszone des n-Typs NW1 bildet eine Wanne des n-Typs in dem Substrat.
  • Ferner sind, wie in 10 dargestellt, die zweiten Kohlenstoffimplantationszonen CB2 an Grenzen der p-Wannenzonen PW und der n-Wannenzonen NW angeordnet. Ein Teil der zweiten Kohlenstoffimplantationszone CB2 überlappt die tiefe Implantationszone des p-Typs PW1 und die tiefe Implantationszone des n-Typs NW1 und daher können in der Überlappungszone sowohl Kohlenstoff als auch Phosphor (und/oder Arsen) erfasst werden, beispielsweise durch Sekundärionen-Massenspektroskopie.
  • Nachdem die Finnenstrukturen 120 gebildet sind, wird über den Finnenstrukturen 120 und dem Substrat 100 eine Isolierungszone 130 (z.B. eine flache Grabenisolierung (Shallow Trench Isolation, STI)) gebildet, wie in 11 dargestellt. Vor dem Bilden der Isolierungszone 130 werden in einigen Ausführungsformen über dem Substrat 100 und Seitenwänden des unteren Teils der Finnenstrukturen 120 eine oder mehrere Auskleidungsschichten gebildet. In einigen Ausführungsformen umfassen die Auskleidungsschichten eine erste Finnenauskleidungsschicht, die auf dem Substrat 100 und Seitenwänden des unteren Teils der Finnenstrukturen 120 gebildet wird, und eine zweite Finnenauskleidungsschicht, die auf der ersten Finnenauskleidungsschicht gebildet wird. In einigen Ausführungsformen weist jede der Finnenauskleidungsschichten eine Dicke von etwa 1 nm bis etwa 20 nm auf. In einigen Ausführungsformen umfasst die erste Finnenauskleidungsschicht Siliziumoxid und weist eine Dicke von etwa 0,5 nm bis etwa 5 nm auf und die zweite Finnenauskleidungsschicht umfasst Siliziumnitrid und weist eine Dicke von etwa 0,5 nm bis etwa 5 nm auf. Die Auskleidungsschichten können durch ein oder mehrere Verfahren abgeschieden werden, wie z.B. physikalische Abscheidung aus der Gasphase (PVD), chemische Abscheidung aus der Gasphase (CVD) oder Atomschichtabscheidung, obgleich jedes akzeptable Verfahren angewendet werden kann.
  • Die Isolierungsschicht 130 umfasst eine oder mehrere Schichten isolierender Materialien, zum Beispiel Siliziumdioxid, Siliziumoxynitrid und/oder Siliziumnitrid, gebildet durch LPCVD (Low Pressure CVD, Niederdruck-CVD), Plasma-CVD oder Flowable-CVD. Bei der Flowable-CVD werden statt des Siliziumoxids fließfähige dielektrische Materialien abgeschieden. Fließfähige Materialien können, wie ihr Name nahelegt, während der Abscheidung „fließen“, um Lücken oder Räume mit einem hohen Seitenverhältnis zu füllen. Gewöhnlich werden siliziumhaltigen Vorstufen verschiedene chemische Substanzen zugegeben, um zu ermöglichen, dass der abgeschiedene Film fließt. In einigen Ausführungsformen werden Stickstoffhydridbindungen zugegeben. Beispiele für fließfähige dielektrische Vorstufen, insbesondere fließfähige Siliziumoxid-Vorstufen, umfassen ein Silikat, ein Siloxan, ein Methylsilsesquioxan (MSQ), ein Hydrogensilsesquioxan (HSQ), ein MSQ/HSQ, ein Perhydrosilazan (TCPS), ein Perhydropolysilazan (PSZ), ein Tetraethylorthosilikat (TEOS) oder ein Silylamin, wie z.B. Trisilylamin (TSA). Diese fließfähigen Siliziumoxidmaterialien werden Mehr-Operationen-Verfahren gebildet. Nachdem der fließfähige Film abgeschieden ist, wird er gehärtet und anschließend getempert, um ein unerwünschtes Element bzw. unerwünschte Elemente zu entfernen, um Siliziumoxid zu bilden. Wenn das unerwünschte Element bzw. die unerwünschten Elemente entfernt ist bzw. sind, verdichtet sich der fließfähige Film und schrumpft. In einigen Ausführungsformen werden mehrere Temperverfahren durchgeführt. Der fließfähige Film wird mehr als einmal gehärtet und getempert. Der fließfähige Film kann mit Bor und/oder Phosphor dotiert werden. Die Isolierungsschicht 130 kann in einigen Ausführungsformen durch eine oder mehrere Schichten SOG, SiO, SiON, SioCN oder fluordotiertem Silikatglas (FSG) gebildet werden.
  • Nach dem Bilden der Isolierungsschicht 130 über den Finnenstrukturen 120 wird eine Planarisierungsoperation durchgeführt, um einen Teil der Isolierungsschicht 130 und eine Maskenschicht (z.B. eine Oxid-Füllschicht und eine Siliziumnitrid-Maskenschicht) zu entfernen, welche verwendet wird, um die Finnenstrukturen zu strukturieren. Die Planarisierungsoperation kann ein chemisch-mechanisches Polieren (CMP) und/oder ein Verfahren des Zurückätzens umfassen. Anschließend werden Teile der Isolierungsschicht 130, die sich über die oberen Flächen der Finnenstrukturen 120 erstrecken, und Teile der Auskleidungsschichten über den oberen Flächen der Finnenstrukturen 120 entfernt, zum Beispiel durch ein Ätzverfahren, chemisch-mechanisches Polieren (CMP) oder Ähnliches. Ferner wird die Isolierungsschicht 130 ausgespart, um den oberen Teil der Finnenstrukturen 120 freizulegen. In einigen Ausführungsformen wird die Isolierungsschicht 130 durch ein einzelnes Ätzverfahren oder durch mehrere Ätzverfahren ausgespart. In einigen Ausführungsformen, bei denen die Isolierungsschicht 130 aus Siliziumoxid hergestellt ist, kann es sich bei den Ätzverfahren beispielsweise um ein Trockenätzverfahren, ein chemisches Ätzverfahren oder ein Nassreinigungsverfahren handeln. In bestimmten Ausführungsformen kann das teilweise Entfernen der Isolierungsschicht 130 durch ein Nassätzverfahren erfolgen, zum Beispiel durch Tauchen des Substrats in Fluorwasserstoffsäure (HF). In einer anderen Ausführungsform kann das teilweise Entfernen der Isolierungsschicht 130 durch ein Trockenätzverfahren erfolgen. Beispielsweise kann ein Trockenätzverfahren unter Verwendung von CHF3 oder BF3 als Ätzgase angewendet werden.
  • Nach dem Bilden der Isolierungsschicht 130 kann ein thermisches Verfahren, zum Beispiel ein Temperverfahren, durchgeführt werden, um die Qualität der Isolierungsschicht 130 zu verbessern. In bestimmten Ausführungsformen wird das thermische Verfahren durch Kurzzeittempern (RTA) in einer Inertgasumgebung, z.B. einer N2-, Ar- oder He-Umgebung, für etwa 1,5 Sekunden bis etwa 30 Sekunden bei einer Temperatur in einem Bereich von etwa 900 °C bis etwa 1050 °C durchgeführt.
  • Nachdem die Finnenstrukturen 120 und die Isolierungsschicht 130 gebildet sind, werden eine Gate-Struktur 140 und eine oder mehrere Zwischenschichtdielektrikums-Schichten 150 gebildet, wie in 12 dargestellt. In einigen Ausführungsformen werden über einem oberen vorstehenden Teil der Finnenstruktur 120 eine Gate-Dielektrikumsschicht 142 und eine Polysilizium-Gate-Elektrode 144 gebildet.
  • In anderen Ausführungsformen wird eine Metall-Gate-Struktur verwendet, wobei eine Gate-Ersatz-Technologie angewendet wird. Bei der Gate-Ersatz-Technologie wird über den frei liegenden Finnenstrukturen 120 eine Dummy-Gate-Struktur gebildet, welche eine Dummy-Gate-Dielektrikums-Schicht und eine Dummy-Gate-Elektroden-Schicht umfasst. Die Dummy-Gate-Dielektrikums-Schicht und die Dummy-Gate-Elektroden-Schicht werden anschließend verwendet, um die Source/Drain-Zonen zu definieren und zu bilden. Durch eine oder mehrere Strukturierungsoperationen wird eine Dummy-Gate-Struktur gebildet, welche einem Teil der Finnenstruktur angeordnet ist.
  • Ferner werden auf gegenüberliegenden Seitenwänden der Dummy-Gate-Struktur Seitenwand-Abstandhalter gebildet. Die Seitenwand-Abstandhalter umfassen eine oder mehrere Dielektrikumsschichten. In einer Ausführungsform werden die Seitenwand-Abstandhalter aus einem oder mehreren aus Siliziumoxid, Siliziumnitrid, SiOCN, SiCN, Aluminiumoxid, AlCO oder AlCN oder irgendeinem anderen geeigneten Material hergestellt.
  • Anschließend werden auf den Finnenstrukturen eine oder mehrere epitaxiale Source/Drain-Schichten gebildet. Nachdem die epitaxiale Source/Drain-Schicht gebildet ist, werden eine oder mehrere Zwischenschichtdielektrikums(ILD)-Schichten gebildet. In einigen Ausführungsformen wird vor dem Bilden der ILD-Schicht eine Ätzstoppschicht (ESL) über der epitaxialen Source/Drain-Schicht und den Seitenwand-Abstandhaltern gebildet. Nachdem die ILD-Schicht gebildet ist, wird eine Planarisierungsoperation durchgeführt, z.B. ein Verfahren des Zurückätzens und/oder ein Verfahren des chemisch-mechanischen Polierens (CMP), um die obere Fläche der Dummy-gate-Elektroden-Schicht freizulegen.
  • Dann wird die Dummy-Gate-Elektroden-Schicht entfernt, wodurch ein Gate-Raum gebildet wird. Nachdem der obere Teil der Finnenstrukturen 120 freigelegt ist, werden in dem Gate-Raum eine Gate-Dielektrikums-Schicht 142, welche eine Grenzflächenschicht und eine High-k-Gate-Dielektrikums-Schicht umfasst, auf den frei liegenden Finnenstrukturen (Kanalschichten) 120 gebildet. Die Grenzflächenschicht ist in einigen Ausführungsformen ein chemisch gebildetes Siliziumoxid. Die High-k-Gate-Dielektrikums-Schicht umfasst eine oder mehrere Schichten HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, Zirkoniumoxid, Aluminiumoxid, Titanoxid, Hafniumdioxid-Aluminiumoxid(HfO2-Al2O3)-Legierung, anderer geeigneter High-k-Dielektrikums-Materialien.
  • Außerdem werden über der Gate-Dielektrikums-Schicht 142 eine oder mehrere leitfähige Schichten 144 gebildet. Die leitfähige Schicht 144 kann eine Barriereschicht umfassen, die durch eine oder mehrere Schichten TaN, TiN, Si-dotiertes TiN oder irgendeines anderen geeigneten leitfähigen Materials gebildet wird. Die leitfähigen Schichten 144 umfassen ferner eine oder mehrere Austrittsarbeits-Einstellungsschichten. Die Austrittsarbeits-Einstellungsschicht wird aus einem leitfähigen Material hergestellt, wie z.B. einer Monoschicht von TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi oder TiAlC oder einer Mehrfachschicht von zwei oder mehr dieser Materialien. Für den n-Kanal-FinFET wird eines oder mehreres aus TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi und TaSi als die Austrittsarbeits-Einstellungsschicht verwendet und für den p-Kanal-FinFET wird eines oder mehreres aus TiAlC, Al, TiAl, TaN, TaAlC, TiN, TiC und Co als die Austrittsarbeits-Einstellungsschicht verwendet.
  • Die leitfähige Schicht 144 umfasst eine Hauptmetallschicht, welche ein metallisches Material umfasst, ausgewählt aus der Gruppe, bestehend aus W, Cu, Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn, Co, Pd, Ni, Re, Ir, Ru, Pt und Zr.
  • Wie in 10 und 12 dargestellt, liegt die Tiefe Di vom Boden der Isolierungsschicht 130 bis zum Boden der Kohlenstoffbarriere in einigen Ausführungsformen in einem Bereich von etwa 50 nm bis etwa 100 nm.
  • Nach dem Bilden der Gate-Elektroden 140 werden weitere CMOS-Verfahren durchgeführt, um verschiedene Elemente zu bilden, wie z.B. zusätzliche Zwischenschichtdielektrikums-Schichten, Kontakte/Durchkontaktierungen, Metallverbindungsschichten, und Passivierungsschichten usw. Die vorstehenden Ionenimplantationsoperationen aus mehreren Winkeln können sowohl auf FinFETs des n-Typs als auch auf FinFETs des p-Typs angewendet werden.
  • 13 zeigt eine Draufsicht auf SRAM-Zellen gemäß einer Ausführungsform der vorliegenden Offenbarung. Wie in 13 dargestellt, die Wannenzone des n-Typs NW und die Wannenzone des p-Typs PW in der X-Richtung wiederholend angeordnet. An den Grenzen der Wannenzone des n-Typs NW und der Wannenzone des p-Typs PW und um diese herum sind Kohlenstoffbarrierezonen (Kohlenstoffimplantationszonen) angeordnet.
  • 14 zeigt eine Querschnittsansicht einer der Herstellungsoperationen einer Halbleitervorrichtung gemäß einer anderen Ausführungsform der vorliegenden Offenbarung. In den folgenden Ausführungsformen können Materialien, Konfigurationen, Abmessungen, Strukturen, Bedingungen und Operationen eingesetzt werden, welche dieselben oder ähnliche sind wie jene in Bezug auf 1 bis 13 erläuterten, und einige der Erläuterungen können weggelassen werden.
  • In den vorhergehenden Ausführungsformen werden die erste und zweite Maskenstruktur 20, 30 für Implantationszonen des p-Typs und des n-Typs auch verwendet, um die Kohlenstoffimplantationszonen zu bilden. In dieser Ausführungsform wird eine dritte Maskenstruktur 40 verwendet, um die Kohlenstoffimplantationszonen zu bilden, wie in 14 dargestellt. Wie in FIG. dargestellt, wird die dritte Maskenstruktur 40, zum Beispiel eine Photoresiststruktur, über dem Substrat 100 gebildet. Die dritte Maskenstruktur 40 umfasst Öffnungen oberhalb der Grenzen zwischen den Wannenzonen des n-Typs NW und den Wannenzonen des p-Typs PW. Anschließend werden eine oder mehrere Kohlenstoffimplantationen 45 durchgeführt, um eine Kohlenstoffimplantationszonen CB3 zu bilden. In dieser Ausführungsform beträgt der Neigungswinkel etwa 0 Grad in Bezug auf die Normalenrichtung des Substrats 100.
  • 15 zeigt eine Querschnittsansicht einer der Herstellungsoperationen einer Halbleitervorrichtung gemäß einer weiteren Ausführungsform der vorliegenden Offenbarung. In den folgenden Ausführungsformen können Materialien, Konfigurationen, Abmessungen, Strukturen, Bedingungen und Operationen eingesetzt werden, welche dieselben oder ähnliche sind wie jene in Bezug auf 1 bis 14 erläuterten, und einige der Erläuterungen können weggelassen werden.
  • In 15 werden Gate-all-around(GAA)-FETS bereitgestellt, bei denen Halbleiter-Nanodrähte verwendet werden. Wie in 15 dargestellt, sind ein oder mehrere Halbleiter-Nanodrähte 122 über Finnenstrukturen angeordnet, welche zumindest die Anti-Durchschlags-Implantationszonen PW4 oder NW3 und die flachen Implantationszonen PW3 oder NW2 umfassen. Die Nanodrähte 122 sind von einer High-k-Dielektrikumsschicht 146 umwickelt und die Kanalzone und das Metall-Gate 148 sind über der High-k-Dielektrikumsschicht 146 ausgebildet. Die Wannenstrukturen und die Boden-FinnenStrukturen sind im Wesentlichen dieselben wie jene in 10 bis 12. Ähnlich 10 bis 12 sind die zweiten Kohlenstoffimplantationszonen CB2 an Grenzen der p-Wannenzonen PW und der n-Wannenzonen NW angeordnet. Ein Teil der zweiten Kohlenstoffimplantationszone CB2 überlappt die tiefe Implantationszone des p-Typs PW1 und die tiefe Implantationszone des n-Typs NW1 und somit können in der Überlappungszone sowohl Kohlenstoff als auch Phosphor (und/oder Arsen) erfasst werden, beispielsweise durch Sekundärionen-Massenspektrometrie.
  • Durch Verwendung einer oder mehrerer Kohlenstoffbarrierezonen ist es möglich, eine Wanne des p-Typs und eine Wanne des n-Typs effizienter elektrisch zu trennen und somit ein Latch-up in einer CMOS-Vorrichtung zu verhindern. Ferner ist es dadurch, dass bei der Kohlenstoffimplantation dieselben Maskenstrukturen verwendet werden wie für die Wannenbildung, möglich, einen Anstieg der Herstellungskosten einzudämmen.
  • Es versteht sich, dass hierin nicht notwendigerweise alle Vorteile beschrieben worden sind, dass kein spezieller Vorteil für alle Ausführungsformen oder Beispiele erforderlich ist und dass andere Ausführungsformen oder Beispiele andere Vorteile bieten können.
  • Gemäß einer Erscheinungsform der vorliegenden Offenbarung wird bei einem Verfahren zur Herstellung einer Halbleitervorrichtung in einem Halbleitersubstrat eine Implantationszone eines ersten Leitfähigkeitstyps gebildet und in einer seitlichen Grenzzone der Implantationszone des ersten Leitfähigkeitstyps wird eine Kohlenstoffimplantationszone gebildet. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen umfasst die Kohlenstoffimplantationszone eine obere Kohlenstoffimplantationszone und eine untere Kohlenstoffimplantationszone, die unterhalb der oberen Kohlenstoffimplantationszone angeordnet ist. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen ist eine Kohlenstoff-Dotierungskonzentration in der oberen Kohlenstoffimplantationszone eine andere als eine Dotierungskonzentration der unteren Kohlenstoffimplantationszone. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen wird die Implantationszone des ersten Leitfähigkeitstyps durch ein oder mehrere Ionenimplantationsverfahren einer ersten Verunreinigung gebildet, wobei eine erste Maskenstruktur verwendet wird, welche eine Öffnung aufweist, und die Kohlenstoffimplantationszone wird durch ein oder mehrere Kohlenstoffionen-Implantationsverfahren unter Verwendung der ersten Maskenstruktur gebildet. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen beträgt ein Implantationswinkel der ein oder mehreren Kohlenstoffionen-Implantationsverfahren in Bezug auf die Normalenrichtung des Substrats 7 Grad bis 15 Grad. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen wird die Implantationszone des ersten Leitfähigkeitstyps durch ein oder mehrere Ionenimplantationsverfahren einer ersten Verunreinigung gebildet, wobei eine erste Maskenstruktur verwendet wird, welche eine Öffnung aufweist, und die Kohlenstoffimplantationszone wird durch ein oder mehrere Kohlenstoffionen-Implantationsverfahren unter Verwendung einer zweiten Maskenstruktur gebildet, welche eine zweite Öffnung an einer Stelle aufweist, die sich von der der ersten Öffnung unterscheidet.
  • Gemäß einer anderen Erscheinungsform der vorliegenden Offenbarung wird bei einem Verfahren zur Herstellung einer Halbleitervorrichtung in einem Halbleitersubstrat eine Implantationszone des-p-Typs gebildet. In dem Halbleitersubstrat wird eine Implantationszone des n-Typs gebildet. An einer Grenzzone zwischen der Implantationszone des-p-Typs und der Implantationszone des-n-Typs wird eine Kohlenstoffimplantationszone gebildet. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen wird die Kohlenstoffimplantationszone durch ein oder mehrere Kohlenstoffionen-Implantationsverfahren mit unterschiedlichen Beschleunigungsenergien gebildet. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen wird die Implantationszone des-p-Typs durch ein oder mehrere Ionenimplantationsverfahren einer ersten Verunreinigung mit unterschiedlichen Beschleunigungsenergien gebildet. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen wird bei dem einen oder bei den mehreren Ionenimplantationsverfahren der ersten Verunreinigung eine erste Maskenstruktur mit einer Öffnung verwendet und die Kohlenstoffimplantationszone wird durch ein oder mehrere Kohlenstoffionen-Implantationsverfahren unter Verwendung der ersten Maskenstruktur gebildet. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen ist ein Implantationswinkel des einen oder der mehreren Kohlenstoffionen-Implantationsverfahren in Bezug auf eine Normalenrichtung des Substrats ein anderer als ein Implantationswinkel des einen oder der mehreren Ionenimplantationsverfahren der ersten Verunreinigung. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen beträgt der Implantationswinkel des einen oder der mehreren Kohlenstoffionen-Implantationsverfahren in Bezug auf die Normalenrichtung des Substrats 7 Grad bis 15 Grad. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen wird die Implantationszone des-n-Typs durch ein oder mehrere Ionenimplantationsverfahren einer zweiten Verunreinigung mit unterschiedlichen Beschleunigungsenergien gebildet. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen wird bei dem einen oder bei den mehreren Ionenimplantationsverfahren der zweiten Verunreinigung eine zweite Maskenstruktur mit einer Öffnung verwendet und die Kohlenstoffimplantationszone wird durch ein oder mehrere Kohlenstoffionen-Implantationsverfahren unter Verwendung der zweiten Maskenstruktur gebildet. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen ist ein Implantationswinkel des einen oder der mehreren Kohlenstoffionen-Implantationsverfahren in Bezug auf eine Normalenrichtung des Substrats ein anderer als ein Implantationswinkel des einen oder der mehreren Ionenimplantationsverfahren der zweiten Verunreinigung. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen beträgt der Implantationswinkel des einen oder der mehreren Kohlenstoffionen-Implantationsverfahren in Bezug auf die Normalenrichtung des Substrats 7 Grad bis 15 Grad.
  • Gemäß einer weiteren Erscheinungsform der vorliegenden Offenbarung werden bei einem Verfahren zur Herstellung einer Halbleitervorrichtung in einem Halbleitersubstrat Implantationszonen des-p-Typs gebildet. In dem Halbleitersubstrat werden Implantationszonen des n-Typs gebildet. An einer Grenzzone zwischen den Implantationszonen des-p-Typs und den Implantationszonen des-n-Typs werden Kohlenstoffimplantationszonen gebildet. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen werden die Kohlenstoffimplantationszonen durch ein erstes Kohlenstoffionen-Implantationsverfahren und ein zweites Kohlenstoffionen-Implantationsverfahren gebildet, die Implantationszonen des p-typs werden durch Implantationsverfahren einer ersten Verunreinigung mit unterschiedlichen Beschleunigungsenergien und unter Verwendung einer ersten Maske mit einer ersten Öffnung gebildet und die Implantationszonen des n-typs werden durch Implantationsverfahren einer zweiten Verunreinigung mit unterschiedlichen Beschleunigungsenergien und unter Verwendung einer zweiten Maske mit einer zweiten Öffnung gebildet, deren Position eine andere ist als die der ersten Öffnung. Bei dem ersten und zweiten durchgeführten Kohlenstoffimplantationsverfahren handelt es sich um eines aus (i) Verwenden der ersten Maske für das erste Kohlenstoffionen-Implantationsverfahren und Verwenden der zweiten Maske für das zweite Kohlenstoffionen-Implantationsverfahren, (ii) Verwenden der ersten Maske für das erste Kohlenstoffionen-Implantationsverfahren und das zweite Kohlenstoffionen-Implantationsverfahren oder (iii) Verwenden der zweiten Maske für das erste Kohlenstoffionen-Implantationsverfahren und das zweite Kohlenstoffionen-Implantationsverfahren. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen betragen die Implantationswinkel des ersten und zweiten Kohlenstoffionen-Implantationsverfahrens in Bezug auf eine Normalenrichtung des Substrats 7 Grad bis 15 Grad.
  • Gemäß einer Erscheinungsform der vorliegenden Offenbarung umfasst eine Halbleitervorrichtung ein Halbleitersubstrat, eine Wannenzone eines ersten Leitfähigkeitstyps in dem Halbleitersubstrat und eine Kohlenstoffimplantationszone an einer seitlichen Grenzzone der Wannenzone des ersten Leitfähigkeitstyps. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen umfasst die Kohlenstoffimplantationszone eine obere Kohlenstoffimplantationszone und eine untere Kohlenstoffimplantationszone, die unterhalb der oberen Kohlenstoffimplantationszone angeordnet ist. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen ist eine Kohlenstoff-Dotierungskonzentration in der oberen Kohlenstoffimplantationszone eine andere als eine Dotierungskonzentration der unteren Kohlenstoffimplantationszone. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen liegt eine Dotierungskonzentration der Kohlenstoffimplantationszone in einem Bereich von 1 × 1018 Atome/cm3 bis 2 × 1019 Atome/cm3. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen umfasst die Wannenzone des ersten Leitfähigkeitstyps eine untere Wannenzone, eine über der unteren Wannenzone angeordnete mittlere Wannenzone und eine über der mittleren Wannenzone angeordnete obere Wannenzone und die Kohlenstoffimplantationszone ist an einer seitlichen Grenzzone der unteren Wannenzone angeordnet. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen ist über der Wannenzone des ersten Leitfähigkeitstyps ein Feldeffekttransistor angeordnet.
  • Gemäß einer weiteren Erscheinungsform der vorliegenden Offenbarung umfasst eine Halbleitervorrichtung ein Halbleitersubstrat, eine Wannenzone des p-Typs in dem Halbleitersubstrat, eine Wannenzone des n-Typs in dem Halbleitersubstrat und eine Kohlenstoffimplantationszone an einer Grenzzone zwischen der Wannenzone des p-typs und der Wannenzone des n-Typs. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen liegt eine Dotierungskonzentration der Kohlenstoffimplantationszone in einem Bereich von 1 × 1018 Atome/cm3 bis 2 × 1019 Atome/cm3. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen umfasst die Wannenzone des p-Typs eine untere p-Wannenzone, eine über der unteren p-Wannenzone angeordnete mittlere p-Wannenzone und eine über der mittleren p-Wannenzone angeordnete obere p-Wannenzone und die Wannenzone des n-Typs umfasst eine untere n-Wannenzone und eine über der unteren n-Wannenzone angeordnete obere n-Wannenzone und die Kohlenstoffimplantationszone ist an einer Grenzzone zwischen der unteren p-Wannenzone und der unteren n-Wannenzone angeordnet. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen ist eine Dotierstoffkonzentration der mittleren p-Wannenzone geringer als eine Dotierstoffkonzentration der oberen p-Wannenzone und eine Dotierstoffkonzentration der unteren p-Wannenzone. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen umfasst die Halbleitervorrichtung ferner eine Anti-Durchschlagszone des p-Typs, welche über der Wannenzone des p-Typs angeordnet ist, und eine Anti-Durchschlagszone des n-Typs, welche über der Wannenzone des n-Typs angeordnet ist. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen ist über der Anti-Durchschlagszone des p-Typs ein erster Feldeffekttransistor (FET) angeordnet und über der Anti-Durchschlagszone des n-Typs ein zweiter FET angeordnet. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen überlappt die Kohlenstoffbarrierezone die Wannenzone des p-Typs und die Wannenzone des n-Typs.
  • Gemäß einer weiteren Erscheinungsform der vorliegenden Offenbarung umfasst eine Halbleitervorrichtung einen statischen Direktzugriffsspeicher (SRAM). Der SRAM umfasst einen n-Kanal-Finnen-Feldeffekttransistor (FinFET) mit einer ersten Finnenstruktur, die über einem Halbleitersubstrat angeordnet ist, einen p-Kanal-FinFET mit einer zweiten Finnenstruktur, die über dem Substrat angeordnet ist, eine Wanne des p-Typs, die in dem Halbleitersubstrat ausgebildet ist, eine Wanne des n-Typs, die in dem Halbleitersubstrat ausgebildet ist, und eine Kohlenstoffbarrierezone, die an einer Grenzzone zwischen der Wanne des p-Typs und der Wanne des n-Typs angeordnet ist. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen ist in der ersten Finnenstruktur eine erste Implantationszone des p-Typs ausgebildet und in der zweiten Finnenstruktur ist eine erste Implantationszone des n-Typs ausgebildet. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen ist zwischen der Wanne des p-Typs und der ersten Implantationszone des p-Typs eine zweite Implantationszone des p-Typs ausgebildet. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen ist in der ersten Finnenstruktur über der ersten Implantationszone des p-Typs eine Anti-Durchschlagszone des p-Typs ausgebildet und in der zweiten Finnenstruktur über der ersten Implantationszone des n-Typs ist eine Anti-Durchschlagszone des n-Typs ausgebildet. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen ist eine Dotierstoffkonzentration der zweiten Implantationszone des p-Typs geringer als eine Dotierstoffkonzentration der ersten Implantationszone des p-Typs und eine Dotierstoffkonzentration der Wanne des p-Typs. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen ist ein unterer Teil der zweiten Implantationszone des p-Typs in dem Halbleitersubstrat angeordnet und ein oberer Teil der zweiten Implantationszone des p-Typs ist in der ersten Finnenstruktur angeordnet. In einer oder mehreren der vorhergehenden und folgenden Ausführungsformen überlappt die Kohlenstoffbarrierezone die Wanne des p-Typs und die Wanne des n-Typs.
  • Im Vorstehenden werden Merkmale verschiedener Ausführungsformen so umrissen, dass der Fachmann die Erscheinungsformen der vorliegenden Offenbarung besser verstehen kann. Der Fachmann erkennt, dass er die vorliegende Offenbarung einfach als eine Basis zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erfüllen derselben Zwecke und/oder Erhalten derselben Vorteile wie bei den hier vorgestellten Ausführungsformen nutzen kann. Der Fachmann realisiert auch, dass solche äquivalenten Konstruktionen nicht von der Idee und vom Umfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Abwandlungen hieran vornehmen kann, ohne von der Idee und vom Umfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Verfahren zur Herstellung einer Halbleitervorrichtung, wobei das Verfahren umfasst: Bilden einer Implantationszone eines ersten Leitfähigkeitstyps in einem Halbleitersubstrat; und Bilden einer Kohlenstoffimplantationszone an einer seitlichen Grenzzone der Implantationszone des ersten Leitfähigkeitstyps.
  2. Verfahren nach Anspruch 1, wobei die Kohlenstoffimplantationszone eine obere Kohlenstoffimplantationszone und eine untere Kohlenstoffimplantationszone umfasst, die unterhalb der oberen Kohlenstoffimplantationszone angeordnet ist.
  3. Verfahren nach Anspruch 2, wobei eine Kohlenstoff-Dotierungskonzentration in der oberen Kohlenstoffimplantationszone eine andere ist als eine Dotierungskonzentration der unteren Kohlenstoffimplantationszone.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei: die Implantationszone des ersten Leitfähigkeitstyps durch ein oder mehrere Ionenimplantationsverfahren einer ersten Verunreinigung gebildet wird, wobei eine erste Maskenstruktur verwendet wird, welche eine Öffnung aufweist, und die Kohlenstoffimplantationszone durch ein oder mehrere Kohlenstoffionen-Implantationsverfahren unter Verwendung der ersten Maskenstruktur gebildet wird.
  5. Verfahren nach Anspruch 4, wobei ein Implantationswinkel der ein oder mehreren Kohlenstoffionenimplantationsverfahren in Bezug auf die Normalenrichtung des Substrats ein anderer ist als ein Implantationswinkel der ein oder mehreren Ionenimplantationsverfahren der ersten Verunreinigung.
  6. Verfahren nach Anspruch 5, wobei der Implantationswinkel der ein oder mehreren Kohlenstoffionenimplantationsverfahren in Bezug auf die Normalenrichtung des Substrats 7 Grad bis 15 Grad beträgt.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei: die Implantationszone des ersten Leitfähigkeitstyps durch ein oder mehrere Ionenimplantationsverfahren einer ersten Verunreinigung gebildet wird, wobei eine erste Maskenstruktur verwendet wird, welche eine Öffnung aufweist, und die Kohlenstoffimplantationszone durch ein oder mehrere Kohlenstoffionenimplantationsverfahren unter Verwendung einer zweiten Maskenstruktur gebildet wird, welche eine zweite Öffnung an einer Stelle aufweist, die sich von der der ersten Öffnung unterscheidet.
  8. Verfahren zur Herstellung einer Halbleitervorrichtung, wobei das Verfahren umfasst: Bilden einer Implantationszone des p-Typs in einem Halbleitersubstrat; Bilden einer Implantationszone des n-Typs in dem Halbleitersubstrat; und Bilden einer Kohlenstoffimplantationszone an einer Grenzzone zwischen der Implantationszone des p-Typs und der Implantationszone des n-Typs.
  9. Verfahren nach Anspruch 8, wobei die Kohlenstoffimplantationszone durch ein oder mehrere Kohlenstoffionen-Implantationsverfahren mit unterschiedlichen Beschleunigungsenergien gebildet wird.
  10. Verfahren nach Anspruch 8 oder 9, wobei die Implantationszone des-p-Typs durch ein oder mehrere Ionenimplantationsverfahren einer ersten Verunreinigung mit unterschiedlichen Beschleunigungsenergien gebildet wird.
  11. Verfahren nach Anspruch 10, wobei: bei dem einen oder bei den mehreren Ionenimplantationsverfahren der ersten Verunreinigung eine erste Maskenstruktur mit einer Öffnung verwendet wird, und die Kohlenstoffimplantationszone durch ein oder mehrere Kohlenstoffionen-Implantationsverfahren unter Verwendung der ersten Maskenstruktur gebildet wird.
  12. Verfahren nach Anspruch 11, wobei sich ein Implantationswinkel des einen oder der mehreren Kohlenstoffionen-Implantationsverfahren in Bezug auf eine Normalenrichtung des Substrats ein anderer ist als ein Implantationswinkel des einen oder der mehreren Ionenimplantationsverfahren der ersten Verunreinigung.
  13. Verfahren nach Anspruch 12, wobei der Implantationswinkel des einen oder der mehreren Kohlenstoffionen-Implantationsverfahren in Bezug auf die Normalenrichtung des Substrats 7 Grad bis 15 Grad beträgt.
  14. Verfahren nach einem der Ansprüche 8 bis 13, wobei die Implantationszone des-n-Typs durch ein oder mehrere Ionenimplantationsverfahren einer zweiten Verunreinigung mit unterschiedlichen Beschleunigungsenergien gebildet wird.
  15. Verfahren nach Anspruch 14, wobei: bei dem einen oder bei den mehreren Ionenimplantationsverfahren der zweiten Verunreinigung eine zweite Maskenstruktur mit einer Öffnung verwendet wird, und die Kohlenstoffimplantationszone durch ein oder mehrere Kohlenstoffionen-Implantationsverfahren unter Verwendung der zweiten Maskenstruktur gebildet wird.
  16. Verfahren nach Anspruch 15, wobei ein Implantationswinkel des einen oder der mehreren Kohlenstoffionen-Implantationsverfahren in Bezug auf eine Normalenrichtung des Substrats ein anderer ist als ein Implantationswinkel des einen oder der mehreren Ionenimplantationsverfahren der zweiten Verunreinigung.
  17. Verfahren nach Anspruch 16, wobei der Implantationswinkel des einen oder der mehreren Kohlenstoffionen-Implantationsverfahren in Bezug auf die Normalenrichtung des Substrats 7 Grad bis 15 Grad beträgt.
  18. Halbleitervorrichtung, welche einen statischen Direktzugriffsspeicher (SRAM) umfasst, wobei der SRAM umfasst: einen n-Kanal-Finnen-Feldeffekttransistor (FinFET) mit einer ersten Finnenstruktur, die über einem Halbleitersubstrat angeordnet ist; einen p-Kanal-FinFET mit einer zweiten Finnenstruktur, die über dem Substrat angeordnet ist; eine Wanne des p-Typs, die in dem Halbleitersubstrat ausgebildet ist; eine Wanne des n-Typs, die in dem Halbleitersubstrat ausgebildet ist; und eine Kohlenstoffbarrierezone, die an einer Grenzzone zwischen der Wanne des p-Typs und der Wanne des n-Typs angeordnet ist.
  19. Halbleitervorrichtung nach Anspruch 18, wobei: in der ersten Finnenstruktur eine erste Implantationszone des p-Typs ausgebildet ist, und in der zweiten Finnenstruktur eine erste Implantationszone des n-Typs ausgebildet ist.
  20. Halbleitervorrichtung nach Anspruch 19, wobei zwischen der Wanne des p-Typs und der ersten Implantationszone des p-Typs eine zweite Implantationszone des p-Typs ausgebildet ist.
DE102018118635.5A 2018-06-26 2018-08-01 Verfahren zur Herstellung von Halbleitervorrichtungen und eine Halbleitervorrichtung Pending DE102018118635A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/019,420 2018-06-26
US16/019,420 US11164746B2 (en) 2018-06-26 2018-06-26 Method of manufacturing semiconductor devices and a semiconductor device

Publications (1)

Publication Number Publication Date
DE102018118635A1 true DE102018118635A1 (de) 2020-01-02

Family

ID=68885874

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018118635.5A Pending DE102018118635A1 (de) 2018-06-26 2018-08-01 Verfahren zur Herstellung von Halbleitervorrichtungen und eine Halbleitervorrichtung

Country Status (5)

Country Link
US (3) US11164746B2 (de)
KR (1) KR102339533B1 (de)
CN (2) CN110648973A (de)
DE (1) DE102018118635A1 (de)
TW (1) TWI681444B (de)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110690218B (zh) * 2018-07-05 2022-07-05 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10930507B2 (en) * 2018-10-31 2021-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Reduce well dopant loss in FinFETs through co-implantation
EP3742476A1 (de) * 2019-05-20 2020-11-25 Infineon Technologies AG Verfahren zur implantation von spezies in ein substrat in verschiedene tiefen
US11462612B2 (en) 2020-10-28 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure
US11784226B2 (en) * 2020-11-13 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor gate-all-around device having an anti-punch-through (APT) layer including carbon
US20240006247A1 (en) * 2022-06-30 2024-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6514833B1 (en) * 1999-09-24 2003-02-04 Advanced Micro Devices, Inc. Method of inhibiting lateral diffusion between adjacent wells by introducing carbon or fluorine ions into bottom of STI groove
DE102013108707A1 (de) * 2012-08-13 2014-02-13 Infineon Technologies Ag Halbleitervorrichtung und Verfahren zu ihrer Herstellung
US20160204039A1 (en) * 2015-01-09 2016-07-14 Globalfoundries Inc. Temperature-controlled implanting of a diffusion-suppressing dopant in a semiconductor structure

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5811102B2 (ja) * 1975-12-09 1983-03-01 ザイダンホウジン ハンドウタイケンキユウシンコウカイ 半導体集積回路
US4728619A (en) * 1987-06-19 1988-03-01 Motorola, Inc. Field implant process for CMOS using germanium
JPH05198666A (ja) * 1991-11-20 1993-08-06 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP4613886B2 (ja) 1993-03-30 2011-01-19 ソニー株式会社 固体撮像素子の製造方法、及び半導体基板の製造方法
KR100228331B1 (ko) 1996-12-30 1999-11-01 김영환 반도체 소자의 삼중웰 제조 방법
US5770504A (en) * 1997-03-17 1998-06-23 International Business Machines Corporation Method for increasing latch-up immunity in CMOS devices
JP2002353434A (ja) 2001-05-22 2002-12-06 Sony Corp 固体撮像装置の製造方法
US7041581B2 (en) * 2001-11-16 2006-05-09 International Business Machines Corporation Method and structure for improving latch-up immunity using non-dopant implants
US8089129B2 (en) * 2002-08-14 2012-01-03 Advanced Analogic Technologies, Inc. Isolated CMOS transistors
JP5061461B2 (ja) * 2006-01-16 2012-10-31 富士通セミコンダクター株式会社 半導体装置およびその製造方法
TW200936825A (en) 2007-12-11 2009-09-01 Sumco Corp Silicon substrate and manufacturing method thereof
JP2009200107A (ja) * 2008-02-19 2009-09-03 Elpida Memory Inc 半導体装置およびその製造方法
US8450845B2 (en) 2008-04-09 2013-05-28 Fuji Electric Co., Ltd. Semiconductor device
US8211784B2 (en) * 2009-10-26 2012-07-03 Advanced Ion Beam Technology, Inc. Method for manufacturing a semiconductor device with less leakage current induced by carbon implant
CN102751229B (zh) 2011-04-20 2015-09-30 中国科学院微电子研究所 浅沟槽隔离结构、其制作方法及基于该结构的器件
KR102037867B1 (ko) 2013-03-04 2019-10-29 삼성전자주식회사 반도체 소자의 제조 방법
US9023705B1 (en) * 2013-11-01 2015-05-05 Globalfoundries Inc. Methods of forming stressed multilayer FinFET devices with alternative channel materials
US10290636B2 (en) * 2014-08-18 2019-05-14 Stmicroelectronics, Inc. Semiconductor device having fins with in-situ doped, punch-through stopper layer and related methods
US9553172B2 (en) 2015-02-11 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
CN106960846B (zh) * 2016-01-12 2020-07-28 联华电子股份有限公司 半导体元件及其制作方法
CN107799472B (zh) * 2016-09-07 2020-04-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US11152362B2 (en) * 2016-11-10 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure
CN108122973B (zh) * 2016-11-28 2020-06-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法、以及sram
US9899273B1 (en) * 2016-12-15 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with dopants diffuse protection and method for forming the same
US10546937B2 (en) 2017-11-21 2020-01-28 Taiwan Semiconductor Manufacturing Co., Ltd. Structures and methods for noise isolation in semiconductor devices

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6514833B1 (en) * 1999-09-24 2003-02-04 Advanced Micro Devices, Inc. Method of inhibiting lateral diffusion between adjacent wells by introducing carbon or fluorine ions into bottom of STI groove
DE102013108707A1 (de) * 2012-08-13 2014-02-13 Infineon Technologies Ag Halbleitervorrichtung und Verfahren zu ihrer Herstellung
US20160204039A1 (en) * 2015-01-09 2016-07-14 Globalfoundries Inc. Temperature-controlled implanting of a diffusion-suppressing dopant in a semiconductor structure

Also Published As

Publication number Publication date
KR20200001434A (ko) 2020-01-06
US20240153953A1 (en) 2024-05-09
TW202002015A (zh) 2020-01-01
US11164746B2 (en) 2021-11-02
KR102339533B1 (ko) 2021-12-17
CN110648973A (zh) 2020-01-03
US20220059351A1 (en) 2022-02-24
TWI681444B (zh) 2020-01-01
US11908864B2 (en) 2024-02-20
US20190393040A1 (en) 2019-12-26
CN116193847A (zh) 2023-05-30

Similar Documents

Publication Publication Date Title
DE102015106608B4 (de) FinFET-Wärmeschutzverfahren und verwandte Strukturen
DE102015113081B4 (de) Feldeffekttransistorstruktur mit mehreren Schwellenspannungen und Herstellungsverfahren dafür
DE102018118635A1 (de) Verfahren zur Herstellung von Halbleitervorrichtungen und eine Halbleitervorrichtung
DE102019132233B4 (de) Verfahren zur herstellung von halbleiter-bauelementen
DE102017118199A1 (de) Finfet-vorrichtung und ausbildungsverfahren
DE102017110434A1 (de) Halbleiterbauelement und Herstellungsverfahren dafür
DE102017126043A1 (de) Halbleiter-bauelement und verfahren zu dessen herstellung
DE102019116328B4 (de) Halbleiterbauelement und verfahren
DE102019117656A1 (de) Gate structures having interfacial layers
DE102012204516A1 (de) FinFET-Vorrichtung und Herstellungsverfahren für dieselbe
DE102019125773B4 (de) Verfahren zur herstellung von halbleitervorrichtungen und halbleitervorrichtungen
DE102019109861A1 (de) Gatestapel-Behandlung
DE102021001414A1 (de) Verfahren zum herstellen von halbleitervorrichtungen und halbleitervorrichtungen
DE102017123948B4 (de) Umschlossene epitaxiale struktur und verfahren
DE102020115422A1 (de) Verfahren zur Herstellung von Halbleitervorrichtungen und Halbleitervorrichtungen
DE102021102419A1 (de) Verfahren zur herstellung von halbleitervorrichtungen und deren strukturen
DE102017124779A1 (de) Halbleitervorrichtung und ihr Herstellungsverfahren
DE102019127997A1 (de) Verfahren zum herstellen von halbleitervorrichtungen und halbleitervorrichtungen
DE102019128758A1 (de) Verfahren zur herstellung von halbleitervorrichtungen undhalbleitervorrichtungen
DE102021103538A1 (de) Halbleitervorrichtung und verfahren
DE102022132143A1 (de) Verfahren zum herstellen von halbleitervorrichtungen und halbleitervorrichtungen
DE102016113819B4 (de) Verfahren zur Herstellung eines Halbleiter-Bauelements
DE102019129768B4 (de) Verfahren zur herstellung von halbleitervorrichtungen und halbleitervorrichtung
DE102017126544B4 (de) Verfahren zur herstellung von halbleitervorrichtungen
DE102021105456A1 (de) Halbleitervorrichtung und verfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication