TW202002015A - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TW202002015A
TW202002015A TW107139953A TW107139953A TW202002015A TW 202002015 A TW202002015 A TW 202002015A TW 107139953 A TW107139953 A TW 107139953A TW 107139953 A TW107139953 A TW 107139953A TW 202002015 A TW202002015 A TW 202002015A
Authority
TW
Taiwan
Prior art keywords
region
carbon
type
ion implantation
semiconductor substrate
Prior art date
Application number
TW107139953A
Other languages
English (en)
Other versions
TWI681444B (zh
Inventor
陳俊宏
謝志宏
廖忠志
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Application granted granted Critical
Publication of TW202002015A publication Critical patent/TW202002015A/zh
Publication of TWI681444B publication Critical patent/TWI681444B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26533Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically inactive species in silicon to make buried insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/761PN junctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0921Means for preventing a bipolar, e.g. thyristor, action between the different transistor regions, e.g. Latchup prevention
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

在製造半導體裝置的方法中,在半導體基板中形成第一導電型注入區域,並且在第一導電型注入區域的側邊界區域處形成碳注入區域。

Description

半導體裝置及其製造方法
本公開涉及製造半導體積體電路的方法,並且更具體地涉及製造包括互補式金屬氧化物半導體場效應電晶體(complementary metal-oxide-semiconductor field effect transistors,CMOS FETs)的半導體裝置的方法。
互補式金屬氧化物半導體場效應電晶體已被用於其低功耗。然而,在互補式金屬氧化物半導體場效應電晶體中,防止閂鎖效應(latch-up)一直是裝置和製程技術中的問題之一。隨著積體電路尺寸的縮小和對積體電路速度的要求越來越高,需要採取更有效的措施來防止閂鎖效應。
20‧‧‧第一掩模圖案
22‧‧‧離子注入製程
25‧‧‧碳離子
30‧‧‧第二掩模圖案
32‧‧‧離子注入製程
35‧‧‧碳離子
40‧‧‧第三掩模圖案
45‧‧‧碳注入
100‧‧‧基板
110‧‧‧磊晶層
120‧‧‧鰭片結構
120N‧‧‧鰭片結構
120P‧‧‧鰭片結構
122‧‧‧奈米線
130‧‧‧隔離絕緣層
140‧‧‧閘極結構
142‧‧‧閘極介電層
144‧‧‧導電層
146‧‧‧高介電常數介電層
148‧‧‧金屬閘極
150‧‧‧層間介電層
D1‧‧‧深度
L1‧‧‧線
L2‧‧‧線
T1‧‧‧厚度
T2‧‧‧厚度
W1‧‧‧寬度
CB‧‧‧碳阻擋區域
CB1‧‧‧第一碳注入區域
CB2‧‧‧第二碳注入區域
CB3‧‧‧碳注入區域
NL‧‧‧法線
NW‧‧‧n型阱
NW1‧‧‧深n型注入區域
NW2‧‧‧淺n型注入區域
NW3‧‧‧抗穿通注入區域
PW‧‧‧p型阱
PW1‧‧‧深p型注入區域
PW2‧‧‧中間p型注入區域
PW3‧‧‧淺p型注入區域
PW4‧‧‧抗穿通注入區域
θ 0‧‧‧角度
θ 0'‧‧‧角度
θ 1‧‧‧角度
-θ 1‧‧‧角度
θ 2‧‧‧角度
-θ 2‧‧‧角度
P-sub‧‧‧p型基板
NFET‧‧‧n型場效應電晶體
PFET‧‧‧p型場效應電晶體
X1-X1‧‧‧線
當結合附圖閱讀時,從以下詳細描述中可以最好地理解本公開的各方面。應注意,根據工業中的標準實踐,各種特徵未按比例繪製。實際上,為了清楚討論,可以任意增加或減少各種特徵的尺寸。
第1A圖繪示根據本公開之實施例中半導體裝置的橫截面圖。第1B圖繪示根據本公開之另一實施例中半導體裝置的橫截面圖。
第2圖繪示根據本公開之實施例中半導體裝置製造製程的各個階段之一。
第3圖繪示根據本公開之實施例中半導體裝置製造製程的各個階段之一。
第4圖繪示根據本公開之實施例中半導體裝置製造製程的各個階段之一。
第5圖繪示根據本公開之實施例中半導體裝置製造製程的各個階段之一。
第6圖繪示根據本公開之實施例中半導體裝置製造製程的各個階段之一。
第7圖繪示根據本公開之實施例中半導體裝置製造製程的各個階段之一。
第8圖繪示根據本公開之實施例中半導體裝置製造製程的各個階段之一。
第9圖繪示根據本公開之實施例中半導體裝置製造製程的各個階段之一。
第10圖繪示根據本公開之實施例中半導體裝置製造製程的各個階段之一。
第11圖繪示根據本公開之實施例中半導體裝置製造製程的各個階段之一。
第12圖繪示根據本公開之實施例中半導體裝置製造製程的各個階段之一。
第13圖繪示根據本公開之實施例中靜態隨機存取記憶體的平面圖。
第14圖繪示根據本公開之另一實施例中半導體裝置製造製程的各個階段之一。
第15圖繪示根據本公開之另一實施例中半導體裝置的橫截面圖。
應理解,以下公開內容提供了用於實現本公開的不同特徵的許多不同實施例或示例。以下描述元件和配置的特定實施例或示例以簡化本公開。當然,這些僅僅是示例,而不是限制性的。例如,元件的尺寸不限於所公開的範圍或值,而是可取決於裝置的製程條件和/或所需特性。此外,在以下描述中在第二特徵上方或之上形成第一特徵可以包括其中第一特徵和第二特徵以直接接觸形成的實施例,並且還可以包括其中可以在第一特徵和第二特徵之間插入附加特徵,使得第一特徵和第二特徵可以不直接接觸的實施例。為了簡單和清楚起見,可以以不同比例任意繪製各種特徵。在附圖中,為了簡化,可以省略一些層/特徵。
此外,在本文中可以使用空間相對術語,諸如「在...下面」、「在...下方」、「低於」、「在...上面」、「高於」等,以便於描述如圖所示的一個元件或特徵與另一個元件 或特徵的相關關係。除了圖中所示的取向之外,空間相對術語旨在包括使用或操作中的裝置的不同取向。裝置可以以其他方式定向(旋轉90度或在其他方位),並且同樣可以相應地解釋本文使用的空間相對描述符號。另外,術語「由...組成」可以表示「包括」或「包含」。此外,在以下製造過程中,在所描述的操作之間可以存在一個或多個附加操作,並且操作的順序可以改變。在本公開中,慣用語「A、B和C中的至少一個」表示A、B、C、A+B、A+C、B+C或A+B+C中的任一個,並且不表示一個來自A、一個來自B、一個來自C,除非另有說明。
所公開的實施例涉及半導體裝置,特別是互補式金屬氧化物半導體場效應電晶體(CMOS FET),例如,鰭式場效應電晶體(fin field effect transistor,FinFET)及其製造方法。諸如本文所公開的實施例通常不僅適用於鰭式場效應電晶體,還適用於平面場效應電晶體、雙閘極場效應電晶體、環繞閘極場效應電晶體、Ω閘極場效應電晶體(omega-gate FET)或閘極全環(gate-all-around,GAA)場效應電晶體,以及/或奈米線場效應電晶體,或具有三維通道結構的任何合適的裝置。
第1A圖繪示根據本公開之實施例中半導體裝置的橫截面圖。如第1A圖所示,在半導體基板100中形成p型阱PW和n型阱NW。p型阱PW包含例如硼的p型雜質,並且n型阱NW包含例如磷和砷的n型雜質。n型場效應電晶體NFET設置在p型阱PW上方,p型場效應電晶體PFET設置在n型阱NW上方。p型和n型場效應電晶體可以是任何場效應電晶體,例如, 平面型場效應電晶體、鰭式場效應電晶體和閘極全環場效應電晶體。基板100可以是p型基板或n型半導體基板。在部分實施例中,基板100可以由合適的半導體元素製成,例如矽、金剛石或鍺;合適的合金或化合物半導體,如IV族化合物半導體(矽鍺(SiGe)、碳化矽(SiC)、碳化矽鍺(SiGeC)、錫化鍺(GeSn)、錫化矽(SiSn)、錫化矽鍺(SiGeSn))、III-V族化合物半導體(如,砷化鎵(GaAs)、砷化銦鎵(InGaAs)、砷化銦(InAs)、磷化銦(InP)、銻化銦(InSb)、磷化鎵砷(GaAsP)或磷化鎵銦(GaInP))或其相似物等。此外,基板100可以包括磊晶層(epi-layer),其可以是應變的以提高性能,和/或可以包括絕緣體上矽(silicon-on-insulator,SOI)結構。在一個實施例中,使用p型矽基板。
如第1A圖所示,在本實施例中,透過在p型阱PW和n型阱NW的邊界區域處或周圍注入碳而形成碳阻擋區域CB。碳阻擋區域可以防止由p型阱和n型阱形成的PN接面(PN junction)處的漏電流,因此可以防止閂鎖效應。
第1B圖繪示根據本公開之另一實施例中半導體裝置的橫截面圖。在此實施例中,基板100是p型基板P-sub,並且形成n型阱NW。碳阻擋區域CB形成在n型阱NW和基板100之間的垂直界面處或其周圍。如第1A圖所示,碳阻擋區域CB可以防止由p型基板和n型阱形成的PN接面處的漏電流,因此可以防止閂鎖效應。
第2圖至第12圖繪示根據本公開之實施例中半導體裝置之順序製造操作的橫截面圖。應當理解,可以在第2圖 至第12圖所示的過程之前、期間和之後提供附加操作,並且在此方法的其他實施例中可以替換或省略下面描述的一些操作。操作/製程的順序可以是可互換的。第2圖至第12圖對應於第13圖的線X1-X1,其中第13圖是靜態隨機存取存儲單元的平面圖。
參照第2圖,在基板100上形成第一掩模圖案20。第一掩模圖案20例如是透過一次或多次光刻操作形成的光阻劑圖案。第一掩模圖案20覆蓋隨後將形成n型阱NW的區域,並且在隨後將形成p型阱PW的下方具有開口。
然後,參照第3圖,執行一個或多個離子注入製程22以在基板100中引入p型雜質。在部分實施例中,執行三個離子注入(implantation)製程以形成深p型注入區域PW1、在深p型注入區域PW1上方的中間p型注入區域PW2,和在中間p型注入區域PW2上方的淺p型注入區域PW3。另外,在部分實施例中,透過額外的離子注入製程在淺p型注入區域PW3上方形成p型抗穿通(anti-punch-through)注入區域PW4。
在部分實施例中,深p型注入區域PW1透過在約30keV(電子伏特(electron volt,ev))至約70KeV的範圍內的加速能量注入硼(BF2)而形成,並且在其他實施例中在約40keV至約60KeV的範圍內。深p型注入區域PW1的中心位於距離基板100的表面約130奈米(nm)至約220nm的深處。深p型注入區域PW1的劑量範圍在約為1×1013離子.公分-2至約5×1013離子.公分-2(約1×1013ions.cm-2至約5×1013ions.cm-2)的範圍內,並且在其他實施例中在約2×1013離子. 公分-2至4×1013離子.公分-2的範圍內。
在部分實施例中,中間p型注入區域PW2透過在約15keV至約40KeV的範圍內的加速能量注入硼(BF2)而形成,並且在其他實施例中在約20keV至約35keV的範圍內。中間p型注入區域PW2的中心位於距離基板100的表面約70nm至約140nm的深度處。中間p型注入區域PW2的劑量在約5×1012離子.公分-2至約5×1013離子.公分-2的範圍內,並且在其他實施例中在約1×1013離子.公分-2至3×1013離子.公分-2的範圍內。在部分實施例中,中間p型注入區域PW2的劑量小於深p型注入區域PW1的劑量。
在部分實施例中,淺p型注入區域PW3透過在約5keV至約25KeV的範圍內的加速能量注入硼(BF2)而形成,並且在其他實施例中在約10keV至約20keV的範圍內。淺p型注入區域PW3的中心位於距離基板100的表面約35nm至約85nm的深處。淺p型注入區域PW3的劑量範圍在約1×1013離子.公分-2至約5×1013離子.公分-2的範圍內,並且在其他實施例中在約2×1013離子.公分-2至4×1013離子.公分-2的範圍內。在部分實施例中,淺p型注入區域PW3的劑量大於中間p型注入區域PW2的劑量。
在部分實施例中,抗穿通注入區域PW4透過在約1keV至約10KeV的範圍內的加速能量注入硼(BF2)而形成,並且在其他實施例中在約2keV至約8keV的範圍內。抗穿通注入區域PW4的中心位於距離基板100的表面約8nm至約35nm的深處。抗穿通注入區域PW4的劑量在約2×1013離子.公分-2至 約2×1014離子.公分-2的範圍內,並且在其他實施例中在約5×1013離子.公分-2至1×1014離子.公分-2的範圍內。在部分實施例中,抗穿通注入區域PW4的劑量大於深p型注入區域、中間p型注入區域和淺p型注入區域的劑量。
在部分實施例中,用於注入區域PW1、PW2、PW3和/或PW4的離子沿著基板100的法線方向注入,並且在其他實施例中,離子的注入方向從法線方向傾斜約7度至8度以避免通道效應(channeling effects)。如果注入方向是傾斜的,則可以在其表面平面內將基板旋轉180度或90度的情況下執行兩次或四次注入操作。如第3圖所示,由於基板100的晶格的散射,注入區域在第一掩模圖案20下方延伸。注入區域越深,則延伸量變得越大。
然後,如第4圖所示,將碳離子25注入到基板100中。在部分實施例中,使用相同的第一掩模圖案20注入碳。在部分實施例中,碳離子的注入方向相對於基板100的法線NL傾斜角度θ 1度和傾斜角度-θ 1度。換句話說,碳注入操作包括具有傾斜角度θ 1度的第一次注入操作和傾斜角度-θ 1度的第二次注入操作。可以透過在其表面平面內旋轉基板100來執行具有傾斜角度-θ 1度的第二次注入操作。
在部分實施例中,傾斜角度θ 1在約5度至約18度的範圍內,並且在其他實施例中在約7度至約15度的範圍內。在部分實施例中,傾斜角度θ 1等於或大於角度θ 0,其中角度θ 0是第4圖中所示的法線方向NL和線L1之間的角度,並且由掩模開口的寬度W1和第一掩模圖案20的厚度T1決定。在部分 實施例中,寬度W1在約50nm至約200nm的範圍內,並且厚度T1在約400nm至約600nm的範圍內。如第4圖所示,第一碳注入區域CB1形成在第一掩模圖案20的邊緣下方。
在部分實施例中,透過在約10keV至約60KeV的範圍內的加速能量注入碳來形成第一碳注入區域CB1,並且在其他實施例中在約5keV至約50keV的範圍內。第一碳注入區域CB1的中心位於距離基板100的表面約45nm至約165nm的深處。如第4圖所示,在部分實施例中,第一碳注入區域CB1位於中間p型注入區域PW2和淺p型注入區域PW3之間。第一碳注入區域CB1的劑量在約1×1013離子.公分-2至約2×1014離子.公分-2的範圍內,並且在其他實施例中在約3×1013離子.公分-2至約1×1014離子.公分-2的範圍內。在部分實施例中,可以在p型注入區域PW1至PW4的注入製程之前執行碳離子注入。隨後,移除第一掩模圖案20。在部分實施例中,碳注入區域CB1的摻雜濃度在約1×1018原子.公分-3至約2×1019原子.公分-3(約1×1018atoms.cm-3至約2×1019atoms.cm-3)的範圍內。
接著,如第5圖所示,在基板100上形成第二掩模圖案30。為簡單起見,在第5圖和第6圖中未繪示出p型注入區域和碳注入區域。第二掩模圖案30例如是透過一次或多次光刻操作形成的光阻劑圖案。第二掩模圖案30覆蓋形成p型阱PW的區域,並且在隨後將形成n型阱NW的下方具有開口。如第5圖所示,執行一個或多個離子注入製程32以在基板100中注入n型雜質。在部分實施例中,執行兩次離子注入製程以形成深n 型注入區域NW1和位於深n型注入區域NW1之上的淺n型注入區域NW2。另外,在部分實施例中,透過額外的離子注入製程在淺n型注入區域NW2上方形成n型抗穿通注入區域NW3。
在部分實施例中,深n型注入區域NW1透過在約70keV至約150KeV的範圍內的加速能量注入磷(P)或砷(As)而形成,並且在其他實施例中在約80keV至約140keV的範圍內。深n型注入區域NW1的中心位於距離基板100的表面約100nm至約200nm的深處。深n型注入區域NW1的劑量範圍在約2×1013離子.公分-2至約8×1013離子.公分-2的範圍內,並且在其他實施例中在約3×1013離子.公分-2至6×1013離子.公分-2的範圍內。
在部分實施例中,淺n型注入區域NW2透過在約40keV至約70KeV的範圍內的加速能量注入磷或砷而形成,並且在其他實施例中在約30keV至約60keV的範圍內。淺n型注入區域NW2的中心位於距離基板100的表面約40nm至約90nm深處。淺n型注入區域NW2的劑量在約2×1013離子.公分-2至約8×1013離子.公分-2的範圍內,並且在其他實施例中在約3×1013離子.公分-2至6×1013離子.公分-2的範圍內。在部分實施例中,淺n型注入區域NW2的劑量與深n型注入區域NW1的劑量相同或不同。
在部分實施例中,抗穿通注入區域NW3透過在約3keV至約25KeV的範圍內的加速能量注入磷或砷而形成,並且其他實施例中在約5keV至約20KeV的範圍內。抗穿通注入區域NW3的中心位於距離基板100的表面約8nm至約35nm的 深處。抗穿通注入區域NW3的劑量在約2×1013離子.公分-2至約2×1014離子.公分-2的範圍內,並且在其他實施例中在約5×1013離子.公分-2至1×1014離子.公分-2的範圍內。在部分實施例中,抗穿通注入區域NW3的劑量大於深n型注入區域和淺n型注入區域的劑量。
在部分實施例中,用於注入區域NW1,NW2和/或NW3的離子沿著基板100的法線方向注入,並且在其他實施例中,離子的注入方向從法線方向傾斜約7度至8度以避免通道效應。如果注入方向是傾斜的,則可以在其表面平面內將基板旋轉180度或90度的情況下執行兩次或四次注入操作。如第5圖所示,由於基板100的晶格的散射,注入區域在第二掩模圖案30下方延伸。注入區域越深,則延伸量變得越大。
接著,如第6圖所示,將碳離子35注入到基板100中。在一些實施例中,使用相同的第二掩模圖案30注入碳。在一些實施例中,碳離子的注入方向相對於基板100的法線NL傾斜角度θ 2度和角度-θ 2度。在一些實施例中,傾斜角度θ 2在約5度至約18度的範圍內,並且在其他實施例中在約7度至約15度的範圍內。在一些實施例中,傾斜角度θ 2等於或大於角度θ 0',其中角度θ 0'是第6圖中所示的法線方向NL和線L2之間的角度,並且由掩模開口的寬度W2和第二掩模圖案30的厚度T2決定。在一些實施例中,寬度W2在約50nm至約200nm的範圍內,並且厚度T2在約400nm至約600nm的範圍內。如第6圖所示,第二碳注入區域CB2形成在第二掩模圖案30的邊緣下方。在一些實施例中,角度θ 2等於或小於角度θ 1。
在部分實施例中,第二碳注入區域CB2透過在約10keV至約60KeV的加速能量注入碳而形成,並且在其他實施例中在約5keV至約50keV的範圍內。第二碳注入區域CB2的中心位於距離基板100的表面約45nm至約165nm的深處。如第4圖所示,在部分實施例中,第二碳注入區域CB2位於深n型注入區域NW1和淺n型注入區域NW2之間。在部分實施例中,第二碳注入區域CB2形成得比第一碳注入區域CB1更深。第二碳注入區域CB2的劑量在約1×1013離子.公分-2至約2×1014離子.公分-2的範圍內,並且在其他實施例中在約3×1013離子.公分-2至1×1014離子.公分-2的範圍內。在部分實施例中,可以在n型注入區域NW1至NW3的注入製程之前執行碳離子注入。在部分實施例中,碳注入區域CB2的摻雜濃度在約1×1018原子.公分-3至約2×1019原子.公分-3的範圍內。
隨後,移除第二掩模圖案30,如第7圖所示。
在前述實施例中,使用第一掩模圖案20形成p型注入區域PW1至PW4和第一碳注入區域CB1,然後使用第二掩模圖案30形成n型注入區域NW1至NW3和第二碳注入區域CB2。在其他實施例中,使用第二掩模圖案30形成n型注入區域NW1至NW3和第二碳注入區域CB2,然後使用第一掩模圖案20形成p型注入區域PW1至PW4和第一碳注入區域CB1。
在部分實施例中,使用第二掩模圖案30形成第一碳注入區域CB1,使用第一掩模圖案20形成第二碳注入區域CB2。此外,在部分實施例中,在對p型注入區域PW1至PW4進行離子注入操作之前或之後,透過使用第一掩模圖案20形成 第一碳注入區域CB1和第二碳注入區域CB2。在其他實施例中,在對n型注入區域NW1至NW3進行離子注入操作之前或之後,透過使用第二掩模圖案30形成第一碳注入區域CB1和第二碳注入區域CB2。
然後,在部分實施例中,執行熱處理(例如,退火處理)以激活注入的雜質並透過注入恢復受損的晶格,如第8圖所示。在部分實施例中,透過在惰性氣體環境(例如,氮(N2)、氬(Ar)或氦(He)環境)中在約900℃至約1050℃的溫度下使用約1.5秒至約30秒的快速熱退火(rapid thermal annealing,RTA)來進行熱處理。
隨後,如第9圖所示,在基板100上形成半導體磊晶層110。在部分實施例中,磊晶層110是與基板100相同的半導體材料,例如矽。在其他實施例中,磊晶層110包括與基板100不同的半導體材料。在部分實施例中,磊晶層110由IV族化合物半導體(矽鍺(SiGe)、碳化矽(SiC)、碳化矽鍺(SiGeC)、錫鍺(GeSn)、錫矽(SiSn)、錫化矽鍺(SiGeSn))、III-V族化合物半導體(例如,砷化鎵(GaAs)、砷化銦鎵(InGaAs)、砷化銦(InAs)、磷化銦(InP)、銻化銦(InSb)、磷化鎵砷(GaAsP)或磷化鎵銦(GaInP))組成。在部分實施例中,在基板100上形成一個或多個磊晶層。在部分實施例中,磊晶層110的厚度在約100nm至約500nm的範圍內。磊晶層可以透過一種或多種製程形成,例如化學氣相沉積(chemical vapor deposition,CVD)、分子束磊晶(molecular beam epitaxy,MBE)和/或原子層沉積(atomic layer deposition,ALD),然而可以使用任 何可接受的製程。
接著,如第10圖所示,使用例如圖案化製程形成用於p型場效應電晶體的一個或多個鰭片結構120P和用於n型場效應電晶體的一個或多個鰭片結構120N。可以透過任何合適的方法圖案化鰭片結構120。例如,可以使用一個或多個光刻製程來圖案化鰭片結構,包括雙圖案化或多圖案化製程。通常,雙圖案化或多圖案化製程組合光刻和自對準製程,以允許創建具有例如比使用單個、直接光刻製程可獲得的間距更小的間距的圖案。例如,在一個實施例中,在基板上形成犧牲層並使用光刻製程圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。接著去除犧牲層,然後可以使用剩餘的間隔物或心軸來圖案化鰭片結構。在部分實施例中,一個或多個虛設鰭片結構形成在主動鰭式場效應電晶體的鰭片結構120附近。
透過用於形成鰭片結構120的蝕刻,部分地去除磊晶層110和基板100的上部區域。在部分實施例中,基板100的蝕刻達到中間p型注入區域PW2所處的深度,並且穿過淺p型注入區域PW3和淺n型注入區域NW2。在這種情況下,用於n型場效應電晶體的鰭片結構120N包括一部分的抗穿通注入區域PW4、一部分的淺p型注入區域PW3和一部分的中間p型注入區域PW2,如第10圖所示。類似地,用於p型場效應電晶體的鰭片結構120P包括一部分的抗穿通注入區域NW3和一部分的淺n型注入區域NW2,如第10圖所示。在部分實施例中,第一碳注入區域CB1不包括在鰭片結構120中,並且在其他實施例中,第一碳注入區域CB1包括在鰭片結構120的底部,特 別是鰭片結構120的錐形底部部分。淺p型注入區域PW3和一部分的中間p型注入區域PW2在鰭片結構中形成p型阱,並且一部分的中間p型注入區域PW2和深p型注入區域PW1在基板中形成p型阱。淺n型注入區域NW2在鰭片結構中形成n型阱,並且深n型注入區域NW1在基板中形成n型阱。
此外,如第10圖所示,第二碳注入區域CB2位於p阱區域PW和n阱區域NW的邊界處。一部分的第二碳注入區域CB2與深p型注入區域PW1和深n型注入區域NW1重疊,因此,可以在重疊區域檢測到碳和磷(和/或砷),例如,透過二次離子質譜。
在形成鰭片結構120之後,隔離絕緣層130(例如,淺溝槽隔離(shallow trench isolation,STI))設置在鰭片結構120和基板100上方,如第11圖所示。在形成隔離絕緣區域130之前,在部分實施例中,在基板100上和在鰭片結構120的底部側壁上形成一個或多個襯墊層。在部分實施例中,襯墊層包括形成在基板100上和在鰭片結構120的底部側壁上的第一鰭片襯墊層,以及形成在第一鰭片襯墊層上的第二鰭片襯墊層。在部分實施例中,每個襯墊層的厚度在約1nm和約20nm之間。在部分實施例中,第一鰭片襯墊層包括氧化矽並且具有在約0.5nm和約5nm之間的厚度,並且第二鰭片襯墊層包括氮化矽並且具有在約0.5nm和約5nm之間的厚度。襯墊層可以透過一個或多個製程沉積,例如物理氣相沉積(PVD)、化學氣相沉積(CVD)或原子層沉積(ALD),然而可以使用任何可接受的製程。
隔離絕緣層130包括一層或多層絕緣材料,例如,透過低壓化學氣相沉積(low pressure chemical vapor deposition,LPCVD)、電漿化學氣相沉積或可流動化學氣相沉積形成的二氧化矽、氮氧化矽和/或氮化矽。在可流動化學氣相沉積中、沉積可流動的介電材料而不是氧化矽。如其名稱所示,可流動的介電材料可以在沉積期間「流動」以填充具有高縱橫比(high aspect ratio)的間隙或空間。通常,將各種化學物質添加到含矽前驅物中以使沉積的膜流動。在一些實施例中,添加氮化氫鍵(nitrogen hydride bonds)。可流動的介電前驅物,特別是可流動的氧化矽前驅物的實例包括矽酸鹽、矽氧烷、甲基倍半矽氧烷(methyl silsesquioxane,MSQ)、氫倍半矽氧烷(hydrogen silsesquioxane,HSQ)、甲基倍半矽氧烷/氫倍半矽氧烷(MSQ/HSQ)、全氫矽氮烷(perhydrosilazane,TCPS)、全氫聚矽氮烷(perhydro-polysilazane,PSZ)、正矽酸乙酯(tetraethyl orthosilicate,TEOS)、或甲矽烷基胺,如三甲矽烷基胺(trisilylamine,TSA)。這些可流動的氧化矽材料以多操作(multiple-operation)過程形成。在沉積可流動膜之後,將其固化然後退火以除去不需要的元素以形成氧化矽。當除去不需要的元素時,可流動膜會緻密化(densifies)和收縮。在一些實施例中,進行多個退火過程。固化並退火可流動膜不止一次。可流動膜可以摻雜有硼和/或磷。在部分實施例中,隔離絕緣層130可以由一層或多層旋轉塗佈玻璃(SOG)、氧化矽(SiO)、氮氧化矽(SiON)、氮碳氧化矽(SiOCN)或氟摻雜的矽酸鹽玻 璃(fluorine-doped silicate glass,FSG)形成。
在鰭片結構120上方形成隔離絕緣層130之後,執行平坦化操作以去除用於圖案化鰭片結構之部分的隔離絕緣層130和掩模層(例如,襯墊氧化物層和氮化矽掩模層)。平坦化操作可以包括化學機械平坦化(chemical mechanical polishing,CMP)和/或回蝕刻製程。隨後,使用例如蝕刻製程、化學機械平坦化或類似的方法以去除在鰭片結構120的頂表面上方延伸之部分的隔離絕緣層130,以及在鰭片結構120的頂表面上方之部分的襯墊層。此外,凹陷隔離絕緣層130以暴露鰭片結構120的頂部。在部分實施例中,使用單個蝕刻製程或多個蝕刻製程來使隔離絕緣層130凹陷。在隔離絕緣層130由氧化矽製成的部分實施例中,蝕刻製程可以是例如乾式蝕刻、化學蝕刻或濕式清潔製程。在部分實施例中,可以使用濕式蝕刻製程來執行部分地去除隔離絕緣層130,例如,透過將基板浸入氫氟酸(HF)中。在另一實施例中,可以使用乾式蝕刻製程來執行部分地去除隔離絕緣層130。例如,可以使用三氟甲烷(CHF3)或三氟化硼(BF3)作為蝕刻氣體的乾式蝕刻製程。
在形成隔離絕緣層130之後,可以執行熱處理(例如,退火製程)以改善隔離絕緣層130的品質。在部分實施例中,透過使用快速熱退火(rapid thermal annealing,RTA)來執行熱處理,其係在約900℃至約1050℃的溫度範圍內,且在惰性氣體環境(例如氮(N2)、氬(Ar)或氦(He)環境)中約1.5秒至約10秒。
在形成鰭片結構120和隔離絕緣層130之後,形成閘極結構140和一個或多個層間介電層150,如第12圖所示。在部分實施例中,閘極介電層142和多晶矽閘極電極144形成在鰭片結構120的上突出部分上方。
在其他實施例中,使用閘極替換技術的金屬閘極結構。在閘極替換技術中,在暴露的鰭片結構120上形成包括虛設閘極介電層和虛設閘極電極層的虛設閘極結構。虛設閘極介電層和虛設閘極電極層隨後將用於定義和形成源極/汲極區域。透過一個或多個圖案化操作,形成設置在一部分的鰭片結構上的虛設閘極結構。
此外,側壁間隔物形成在虛設閘極結構的相對側壁上。側壁間隔物包括一個或多個介電層。在一個實施例中,側壁間隔物由氧化矽、氮化矽、碳氮氧化矽(SiOCN)、氮碳化矽(SiCN)、氧化鋁、碳氧化鋁(AlCO)或碳氮化鋁(AlCN)中的一種或多種或任何其他合適的介電材料製成。
隨後,在鰭片結構上形成一個或多個源極/汲極磊晶層。在形成源極/汲極磊晶層之後,形成一個或多個層間介電質(interlayer dielectric,ILD)層。在部分實施例中,在形成層間介電質層之前,在源極/汲極磊晶層和側壁間隔物上方形成蝕刻停止層(etch stop layer,ESL)。在形成層間介電質層之後,執行平坦化操作,例如回蝕製程和/或化學機械平坦化(CMP)製程,以暴露虛設閘極電極層的上表面。
然後,去除虛設閘極電極層,從而形成閘極空間。在閘極空間中,在暴露鰭片結構120的上部之後,在暴露的鰭 片結構(通道層)120上形成包括界面層和高介電常數(high-k)閘極介電層的閘極介電層142。在一些實施例中,界面層是化學形成的氧化矽。高介電常數介電層包括一層或多層二氧化鉿(HfO2)、矽酸鉿(HfSiO)、氮氧矽化鉿(HfSiON)、氧鉭化鉿(HfTaO)、氧鈦化鉿(HfTiO)、氧鋯化鉿(HfZrO)、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他合適的高介電常數介電材料。
此外,在閘極介電層142上形成一個或多個導電層144。導電層144可以包括由一層或多層氮化鉭(TaN)、氮化鈦(TiN)、摻雜矽的氮化鈦(TiN)或任何其他合適的導電材料形成的阻擋層。導電層144還包括一個或多個功函數調整層。功函數調整層由導電材料製成,例如單層氮化鈦(TiN)、氮化鉭(TaN)、碳化鋁鉭(TaAlC)、碳化鈦(TiC)、碳化鉭(TaC)、鈷(Co)、鋁(Al)、鋁化鈦(TiAl)、鈦化鉿(HfTi)、矽化鈦(TiSi)、矽化鉭(TaSi)或碳鋁化鈦(TiAlC),或者這些材料中的兩種或更多種的多層。對於n通道鰭式場效應電晶體,一種或多種氮化鉭(TaN)、碳化鋁鉭(TaAlC)、氮化鈦(TiN)、碳化鈦(TiC)、鈷(Co)、鋁化鈦(TiAl)、鈦化鉿(HfTi)、矽化鈦(TiSi)和矽化鉭(TaSi)用作功函數調整層,對於p通道鰭式場效應電晶體,一種或多種碳鋁化鈦(TiAlC)、鋁(Al)、鋁化鈦(TiAl)、氮化鉭(TaN)、碳鋁化鉭(TaAlC)、氮化鈦(TiN)、碳化鈦(TiC)和鈷(Co)用作功函數調整層。
導電層144包括主金屬層,此主金屬層包括選自由鎢(W)、銅(Cu)、鈦(Ti)、銀(Ag)、鋁(Al)、鋁化鈦(TiAl)、 氮鋁化鈦(TiAlN)、碳化鉭(TaC)、氮碳化鉭(TaCN)、氮矽化鉭(TaSiN)、錳(Mn)、鈷(Co)、鈀(Pd)、鎳(Ni)、錸(Re)、銥(Ir)、釕(Ru)、鉑(Pt)和鋯(Zr)組成的群組的金屬材料。
如第10圖和第12圖所示,在部分實施例中,從隔離絕緣區域130的底部到碳阻擋層的底部的深度D1在約50nm至約100nm的範圍內。
在形成閘極結構140之後,執行進一步的金屬氧化物半導體製程以形成各種特徵,例如附加的層間介電質層、接觸/通孔、互連金屬層和鈍化層等。前述多角度離子注入操作可應用於n型鰭式場效應電晶體和p型鰭式場效應電晶體。
第13圖繪示根據本公開之實施例中靜態隨機存取記憶體單元的平面圖。如第13圖所示,n型阱區域NW和p型阱區域PW在X方向上重複排列。在n型阱區域NW和p型阱區域PW的邊界上和周圍設置碳阻擋區域(碳注入區域)。
第14圖繪示根據本公開之另一實施例中半導體裝置製造操作之一的橫截面圖。相同或相似於第1圖至第13圖中說明的那些材料、構造、尺寸、結構、條件和操作,可在以下實施例中採用,並且可以省略一些解釋。
在前述實施例中,用於p型注入區域和n型注入區域的第一掩模圖案20和第二掩模圖案30也用於形成碳注入區域。在此實施例中,第三掩模圖案40用於形成碳注入區域,如第14圖所示。參照第14圖,在基板100上形成第三掩模圖案40,例如光阻劑圖案。第三掩模圖案40包括在n型阱區域NW和p型阱區域PW之間的邊界上方的開口。接著,執行一個或多 個碳注入45以形成碳注入區域CB3。在此實施例中,傾斜角度相對於基板100的法線方向約為0度。
第15圖繪示根據本公開另一實施例中半導體裝置的製造操作之一的橫截面圖。相同或相似於第1圖至第14圖中說明的那些材料、構造、尺寸、結構、條件和操作,可在以下實施例中採用,並且可以省略一些說明。
參照第15圖,提供了使用半導體奈米線的閘極全環(gate-all-around,GAA)場效應電晶體。如第15圖所示,一個或多個半導體奈米線122設置在至少包括抗穿通區域PW4或抗穿通區域NW3以及淺注入區域PW3或淺注入區域NW2的鰭片結構上方。奈米線122在通道區域處被高介電常數介電層146纏繞,並且金屬閘極148形成在高介電常數介電層146上。阱結構和底部鰭片結構基本上與第10圖至第12圖的那些相同。類似於第10圖至第12圖所示,第二碳注入區域CB2位於p阱區域PW和n阱區域NW的邊界處。第二碳注入區域CB2的一部分與深p型注入區域PW1和深n型注入區域NW1重疊,因此,可以在重疊區域檢測到碳和磷(和/或砷),例如,透過二次離子質譜。
透過使用一個或多個碳阻擋區域,可以更有效地電隔離p型阱和n型阱,從而防止在互補式金屬氧化物裝置中的閂鎖效應(latch up)。此外,透過使用與碳注入而形成阱的相同掩模圖案,可以抑制製造成本的增加。
應當理解,並非所有優點都必須在本文中討論,所有實施例或示例都不需要特別的優點,並且其他實施例或示 例可以提供不同的優點。
根據本公開的一個方面,在用於製造半導體裝置的方法中,在半導體基板中形成第一導電型注入區域,並且在第一導電型注入區域的側邊界區域處形成碳注入區域。在前述和以下實施例中的一個或多個中,碳注入區域包括上碳注入區域和設置在上碳注入區域下方的下碳注入區域。在前述和以下實施例中的一個或多個中,上碳注入區域中碳的摻雜濃度不同於下碳注入區域中碳的摻雜濃度。在前述和以下實施例中的一個或多個中,使用具有開口的第一掩模圖案並透過一個或多個第一雜質離子注入製程形成第一導電型注入區域,並且使用第一掩模圖案並透過一個或多個碳離子注入製程形成碳注入區域。在前述和以下實施例中的一個或多個中,一個或多個碳離子注入製程相對於基板的法線方向的注入角度不同於一個或多個第一雜質離子注入製程的注入角度。在前述和以下實施例中的一個或多個中,一個或多個碳離子注入製程相對於基板法線方向的注入角度為7度至15度。在前述和以下實施例中的一個或多個中,第一導電型注入區域透過使用具有第一開口的第一掩模圖案的一個或多個第一雜質離子注入製程形成,並且碳注入區域由具有第二開口的第二掩模圖案的一個或多個碳離子注入製程形成,其中第二開口的位置與第一開口的位置不同。
根據本公開的另一方面,在製造半導體裝置的方法中,在半導體基板中形成p型注入區域。在半導體基板中形成n型注入區域。在p型注入區域和n型注入區域之間的邊界區 域處形成碳注入區域。在前述和以下實施例中的一個或多個中,碳注入區域透過具有不同加速能量的一個或多個碳離子注入製程形成。在前述和以下實施例中的一個或多個中,p型注入區域透過具有不同加速能量的一個或多個第一雜質離子注入製程形成。在前述和以下實施例中的一個或多個中,一個或多個第一雜質離子注入製程利用具有開口的第一掩模圖案,並且使用第一掩模圖案並透過一個或多個碳離子注入製程形成碳注入區域。在前述和以下實施例中的一個或多個中,一個或多個碳離子注入製程相對於基板的法線方向的注入角度不同於一個或多個第一雜質離子注入製程的注入角度。在前述和以下實施例中的一個或多個中,一個或多個碳離子注入製程相對於基板法線方向的注入角度為7度至15度。在前述和以下實施例中的一個或多個中,n型注入區域透過具有不同加速能量的一個或多個第二雜質離子注入製程形成。在前述和以下實施例中的一個或多個中,一個或多個第二雜質離子注入製程利用具有開口的第二掩模圖案,並且使用第二掩模圖案並透過一個或多個碳離子注入製程形成碳注入區域。在前述和以下實施例中的一個或多個中,一個或多個碳離子注入製程相對於基板的法線方向的注入角度不同於一個或多個第二雜質離子注入製程的注入角度。在前述和以下實施例中的一個或多個中,一個或多個碳離子注入製程相對於基板法線方向的注入角度為7度至15度。
根據本公開的另一方面,在製造半導體裝置的方法中,在半導體基板中形成p型注入區域。在半導體基板中形 成n型注入區域。在p型注入區域和n型注入區域之間的邊界區域處形成碳注入區域。在前述和後述實施例中的一個或多個中,透過第一碳離子注入製程和第二碳離子注入製程形成碳注入區域,透過具有不同加速能量的第一雜質注入製程且使用具有第一開口的第一掩模形成p型注入區域,並且透過具有不同加速能量的第二雜質注入製程且使用具有第二開口的第二掩模形成n型注入區域,其中第二開口的位置不同於第一開口的位置。所執行的第一碳注入製程和第二碳注入製程是以下之一(i)使用第一掩模進行第一碳離子注入製程並使用第二掩模進行第二碳離子注入製程,(ii)使用第一掩模進行第一碳離子注入製程和第二碳離子注入製程,或(iii)使用第二掩模進行第一碳離子注入製程和第二碳離子注入製程。在前述和以下實施例中的一個或多個中,第一碳離子注入製程和第二碳離子注入製程相對於基板的法線方向的注入角度是7度至15度。
根據本公開的一個方面,半導體裝置包括半導體基板,半導體基板中的第一導電型阱區域,以及在第一導電型阱區域側邊界區域處的碳注入區域。在前述和以下實施例中的一個或多個中,碳注入區域包括上碳注入區域和設置在上碳注入區域下方的下碳注入區域。在前述和以下實施例中的一個或多個中,上碳注入區域中碳的摻雜濃度不同於下碳注入區域中碳的摻雜濃度。在前述和以下實施方案中的一個或多個中,碳注入區域的摻雜濃度在1×1018原子.公分-3至2×1019原子.公分-3的範圍內。在前述和後述實施例中的一個或多個中,第一導電型阱區域包括下阱區域,設置在下阱區域上方的中阱區域和 設置在中阱區域上方的上阱區域,以及設置在下阱區域的側邊界區域的碳注入區域。在前述和以下實施例中的一個或多個中,場效應電晶體設置在第一導電型阱區域上方。
根據本公開的另一方面,半導體裝置包括半導體基板、半導體基板中的p型阱區域、半導體基板中的n型阱區域,以及位於p型阱區域和n型阱區域之間的邊界區域的碳注入區域。在前述和以下實施方案中的一個或多個中,碳注入區域的摻雜濃度在1×1018原子.公分-3至2×1019原子.公分-3的範圍內。在前述和後述實施例中的一個或多個中,p型阱區域包括下p型阱區域、設置在下p型阱區域上方的中p型阱區域和設置在中阱區域上方的上p型阱區域,n型阱區域包括下n型阱區域和設置在下n型阱區域上方的上n型阱區域,並且碳注入區域設置在下p型阱區域和下n型阱區域的邊界區域處。在前述和以下實施例中的一個或多個中,中p型阱區域的摻雜劑濃度小於上p型阱區域的摻雜劑濃度和下p型阱區域的摻雜劑濃度。在前述和後述實施例中的一個或多個中,半導體裝置還包括設置在p型阱區域上方的p型抗穿通區域,以及設置在n型阱區域上的n型抗穿通區域。在前述和以下實施例中的一個或多個中,第一場效應電晶體(FET)設置在p型抗穿通區域上方,第二場效應電晶體設置在n型抗穿通區域上方。在前述和以下實施方案中的一個或多個中,碳阻擋區域與p型阱區域和n型阱區域重疊。
根據本公開的另一方面,半導體裝置包括靜態隨機存取記憶體(SRAM)。靜態隨機存取記憶體包括n通道鰭式場效應電晶體(FinFET),其具有設置在半導體基板上的第一 鰭片結構、p通道鰭式場效應電晶體,其具有設置在基板上的第二鰭片結構、形成在半導體基板中的p型阱,形成在半導體基板中的n型阱,以及設置在p型阱和n型阱之間的邊界區域處的碳阻擋區域。在前述和以下實施例中的一個或多個中,在第一鰭片結構中形成第一p型注入區域,並且在第二鰭片結構中形成第一n型注入區域。在前述和以下實施例中的一個或多個中,在p型阱和第一p型注入區域之間形成第二p型注入區域。在前述和後述實施例中的一個或多個中,在第一p型注入區域上方的第一鰭片結構中形成p型抗穿通區域,並在第一n型注入區域上方的第二鰭片結構中形成n型抗穿通區域。在前述和以下實施例中的一個或多個中,第二p型注入區域的摻雜劑濃度小於第一p型注入區域的摻雜劑濃度和p型阱的摻雜劑濃度。在前述和後述實施例中的一個或多個中,第二p型注入區域的下部位於半導體基板中,第二p型注入區域的上部位於第一鰭片結構中。在前述和以下實施方案中的一個或多個中,碳阻擋區域與p型阱和n型阱重疊。
以上概述了若干實施例或示例的特徵,使得本領域技術人員可以更好地理解本公開的方面。本領域技術人員應當理解,他們可以容易地使用本公開作為設計或修改用於實現相同目的的其他過程和結構和/或實現本文介紹的實施例或示例的相同優點的基礎。本領域技術人員還應該認識到,這樣的等同構造不脫離本公開的精神和範圍,並且在不脫離本公開的精神和範圍的情況下,它們可以在本文中進行各種改變,替換和變更。
100‧‧‧基板
CB1‧‧‧第一碳注入區域
CB2‧‧‧第二碳注入區域
NW‧‧‧n型阱
NW1‧‧‧深p型注入區域
NW2‧‧‧淺n型注入區域
NW3‧‧‧抗穿通注入區域
PW‧‧‧p型阱
PW1‧‧‧深p型注入區域
PW2‧‧‧中間p型注入區域
PW3‧‧‧淺p型注入區域
PW4‧‧‧抗穿通注入區域

Claims (20)

  1. 一種製造半導體裝置的方法,包含:形成一第一導電型注入區域於一半導體基板中;以及形成一碳注入區域於該第一導電型注入區域的一側邊界區域處。
  2. 根據請求項1所述的方法,其中該碳注入區域包含一上碳注入區域和設置在該上碳注入區域下方的一下碳注入區域。
  3. 根據請求項2所述的方法,其中該上碳注入區域中碳的一摻雜濃度不同於該下碳注入區域的一摻雜濃度。
  4. 根據請求項1所述的方法,其中:使用具有一開口的一第一掩模圖案並透過一個或複數個第一雜質離子注入製程形成該第一導電型注入區域,並且使用該第一掩模圖案並透過一個或複數個碳離子注入製程形成該碳注入區域。
  5. 根據請求項4所述的方法,其中該或該些碳離子注入製程相對於該半導體基板的一法線方向的一注入角度不同於該或該些第一雜質離子注入製程的一注入角度。
  6. 根據請求項5所述的方法,其中該或該些碳 離子注入製程相對於該半導體基板的該法線方向的該注入角度為7度至15度。
  7. 根據請求項1所述的方法,其中:使用具有一第一開口的一第一掩模圖案並透過一個或複數個第一雜質離子注入製程形成該第一導電型注入區域,並且使用具有一第二開口的一第二掩模圖案並透過一個或複數個碳離子注入製程形成該碳注入區域,其中該第二開口的位置不同於該第一開口的位置。
  8. 一種製造半導體裝置的方法,該方法包含:形成一p型注入區域於一半導體基板中;形成一n型注入區於該半導體基板中;以及形成一碳注入區域於該p型注入區域和該n型注入區域之間的一邊界區域處。
  9. 根據請求項8所述的方法,其中該碳注入區域透過具有不同加速能量的一個或複數個碳離子注入製程形成。
  10. 根據請求項8所述的方法,其中該p型注入區域透過具有不同加速能量的一個或複數個第一雜質離子注入製程形成。
  11. 根據請求項10所述的方法,其中:該或該些第一雜質離子注入製程利用具有一開口的一第一掩模圖案,並且使用該第一掩模圖案並透過一個或複數個碳離子注入製程形成該碳注入區域。
  12. 根據請求項11所述的方法,其中該或該些碳離子注入製程相對於該半導體基板的一法線方向的一注入角度不同於該或該些第一雜質離子注入製程的一注入角度。
  13. 根據請求項12所述的方法,其中該或該些碳離子注入製程相對於該半導體基板的該法線方向的該注入角度為7度至15度。
  14. 根據請求項8所述的方法,其中透過具有不同加速能量的一個或複數個第二雜質離子注入製程形成該n型注入區域。
  15. 根據請求項14所述的方法,其中:該或該些第二雜質離子注入製程利用具有一開口的一第二掩模圖案,並且使用該第二掩模圖案並透過一個或複數個碳離子注入製程形成該碳注入區域。
  16. 根據請求項15所述的方法,其中該或該些 碳離子注入製程相對於該半導體基板的一法線方向的一注入角度不同於該或該些第二雜質離子注入製程的一注入角度。
  17. 根據請求項16所述的方法,其中該或該些碳離子注入製程相對於該半導體基板的該法線方向的該注入角度為7度至15度。
  18. 一種包含靜態隨機存取記憶體(SRAM)的半導體裝置,該靜態隨機存取記憶體包含:一n通道鰭式場效應電晶體,具有設置在一半導體基板上的一第一鰭片結構;一p通道鰭式場效應電晶體,具有設置在該半導體基板上的一第二鰭片結構;一p型阱,形成在該半導體基板中;一n型阱,形成在該半導體基板中;以及一碳阻擋區域,設置在該p型阱和該n型阱之間的一邊界區域處。
  19. 根據請求項18所述的半導體裝置,其中:一第一p型注入區域,形成於該第一鰭片結構中,以及一第一n型注入區域,形成於該第二鰭片結構中。
  20. 根據請求項19所述的半導體裝置,其中在該p型阱和該第一p型注入區域之間形成一第二p型注入區域。
TW107139953A 2018-06-26 2018-11-09 半導體裝置及其製造方法 TWI681444B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/019,420 2018-06-26
US16/019,420 US11164746B2 (en) 2018-06-26 2018-06-26 Method of manufacturing semiconductor devices and a semiconductor device

Publications (2)

Publication Number Publication Date
TW202002015A true TW202002015A (zh) 2020-01-01
TWI681444B TWI681444B (zh) 2020-01-01

Family

ID=68885874

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107139953A TWI681444B (zh) 2018-06-26 2018-11-09 半導體裝置及其製造方法

Country Status (5)

Country Link
US (3) US11164746B2 (zh)
KR (1) KR102339533B1 (zh)
CN (2) CN110648973A (zh)
DE (1) DE102018118635A1 (zh)
TW (1) TWI681444B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110690218B (zh) * 2018-07-05 2022-07-05 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10930507B2 (en) * 2018-10-31 2021-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Reduce well dopant loss in FinFETs through co-implantation
EP3742476A1 (en) * 2019-05-20 2020-11-25 Infineon Technologies AG Method of implanting an implant species into a substrate at different depths
US11462612B2 (en) 2020-10-28 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure
US11784226B2 (en) * 2020-11-13 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor gate-all-around device having an anti-punch-through (APT) layer including carbon
US20240006247A1 (en) * 2022-06-30 2024-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5811102B2 (ja) * 1975-12-09 1983-03-01 ザイダンホウジン ハンドウタイケンキユウシンコウカイ 半導体集積回路
US4728619A (en) * 1987-06-19 1988-03-01 Motorola, Inc. Field implant process for CMOS using germanium
JPH05198666A (ja) * 1991-11-20 1993-08-06 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP4613886B2 (ja) 1993-03-30 2011-01-19 ソニー株式会社 固体撮像素子の製造方法、及び半導体基板の製造方法
KR100228331B1 (ko) 1996-12-30 1999-11-01 김영환 반도체 소자의 삼중웰 제조 방법
US5770504A (en) * 1997-03-17 1998-06-23 International Business Machines Corporation Method for increasing latch-up immunity in CMOS devices
US6514833B1 (en) 1999-09-24 2003-02-04 Advanced Micro Devices, Inc. Method of inhibiting lateral diffusion between adjacent wells by introducing carbon or fluorine ions into bottom of STI groove
JP2002353434A (ja) 2001-05-22 2002-12-06 Sony Corp 固体撮像装置の製造方法
US7041581B2 (en) * 2001-11-16 2006-05-09 International Business Machines Corporation Method and structure for improving latch-up immunity using non-dopant implants
US8089129B2 (en) * 2002-08-14 2012-01-03 Advanced Analogic Technologies, Inc. Isolated CMOS transistors
JP5061461B2 (ja) * 2006-01-16 2012-10-31 富士通セミコンダクター株式会社 半導体装置およびその製造方法
TW200936825A (en) 2007-12-11 2009-09-01 Sumco Corp Silicon substrate and manufacturing method thereof
JP2009200107A (ja) * 2008-02-19 2009-09-03 Elpida Memory Inc 半導体装置およびその製造方法
US8450845B2 (en) 2008-04-09 2013-05-28 Fuji Electric Co., Ltd. Semiconductor device
US8211784B2 (en) * 2009-10-26 2012-07-03 Advanced Ion Beam Technology, Inc. Method for manufacturing a semiconductor device with less leakage current induced by carbon implant
CN102751229B (zh) 2011-04-20 2015-09-30 中国科学院微电子研究所 浅沟槽隔离结构、其制作方法及基于该结构的器件
US9064796B2 (en) 2012-08-13 2015-06-23 Infineon Technologies Ag Semiconductor device and method of making the same
KR102037867B1 (ko) 2013-03-04 2019-10-29 삼성전자주식회사 반도체 소자의 제조 방법
US9023705B1 (en) * 2013-11-01 2015-05-05 Globalfoundries Inc. Methods of forming stressed multilayer FinFET devices with alternative channel materials
US10290636B2 (en) * 2014-08-18 2019-05-14 Stmicroelectronics, Inc. Semiconductor device having fins with in-situ doped, punch-through stopper layer and related methods
US9508602B2 (en) 2015-01-09 2016-11-29 Globalfoundries Inc. Temperature-controlled implanting of a diffusion-suppressing dopant in a semiconductor structure
US9553172B2 (en) 2015-02-11 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
CN106960846B (zh) * 2016-01-12 2020-07-28 联华电子股份有限公司 半导体元件及其制作方法
CN107799472B (zh) * 2016-09-07 2020-04-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US11152362B2 (en) * 2016-11-10 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure
CN108122973B (zh) * 2016-11-28 2020-06-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法、以及sram
US9899273B1 (en) * 2016-12-15 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with dopants diffuse protection and method for forming the same
US10546937B2 (en) 2017-11-21 2020-01-28 Taiwan Semiconductor Manufacturing Co., Ltd. Structures and methods for noise isolation in semiconductor devices

Also Published As

Publication number Publication date
KR20200001434A (ko) 2020-01-06
US20240153953A1 (en) 2024-05-09
DE102018118635A1 (de) 2020-01-02
US11164746B2 (en) 2021-11-02
KR102339533B1 (ko) 2021-12-17
CN110648973A (zh) 2020-01-03
US20220059351A1 (en) 2022-02-24
TWI681444B (zh) 2020-01-01
US11908864B2 (en) 2024-02-20
US20190393040A1 (en) 2019-12-26
CN116193847A (zh) 2023-05-30

Similar Documents

Publication Publication Date Title
US11942548B2 (en) Multi-gate device and method of fabrication thereof
US12021082B2 (en) Enhanced channel strain to reduce contact resistance in NMOS FET devices
US20210050427A1 (en) Multi-Gate Device and Method of Fabrication Thereof
US10312369B2 (en) Semiconductor Fin FET device with epitaxial source/drain
US10790280B2 (en) Multi-gate device and method of fabrication thereof
US10276575B2 (en) Multi-threshold voltage field effect transistor and manufacturing method thereof
US9899269B2 (en) Multi-gate device and method of fabrication thereof
TWI681444B (zh) 半導體裝置及其製造方法
TWI415263B (zh) 半導體裝置及其製造方法
TW201735265A (zh) 半導體結構及其製造方法
KR102326971B1 (ko) 반도체 장치 및 이의 제조 방법
US20220208986A1 (en) Finfet fabrication methods
US11018239B2 (en) Semiconductor device and manufacturing method thereof
US11784233B2 (en) Integrated circuit structure with backside via rail
US20230343855A1 (en) Integrated circuit structure
US20240047546A1 (en) Integrated circuit structure with backside via
US20240113206A1 (en) Manufacturing method of semiconductor device