DE102017126544B4 - Verfahren zur herstellung von halbleitervorrichtungen - Google Patents

Verfahren zur herstellung von halbleitervorrichtungen Download PDF

Info

Publication number
DE102017126544B4
DE102017126544B4 DE102017126544.9A DE102017126544A DE102017126544B4 DE 102017126544 B4 DE102017126544 B4 DE 102017126544B4 DE 102017126544 A DE102017126544 A DE 102017126544A DE 102017126544 B4 DE102017126544 B4 DE 102017126544B4
Authority
DE
Germany
Prior art keywords
fin structures
layer
fin
forming
ion implantation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102017126544.9A
Other languages
English (en)
Other versions
DE102017126544A1 (de
Inventor
Tsan-Chun Wang
Chun-Feng Nieh
Chiao-Ting TAI
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/801,128 external-priority patent/US10714598B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017126544A1 publication Critical patent/DE102017126544A1/de
Application granted granted Critical
Publication of DE102017126544B4 publication Critical patent/DE102017126544B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Abstract

Verfahren zur Herstellung einer Halbleitervorrichtung, umfassend:Ausbilden von Finnenstrukturen (20) über einem Substrat (10); undAusbilden einer Dummy-Gatestruktur (40) über den Finnenstrukturen (20); undAusbilden von Seitenwandabstandshaltern (47) an gegenüberliegenden Seitenwänden der Dummy-Gatestruktur (40); undEntfernen der Dummy-Gatestruktur (40), wodurch Kanalbereiche der Finnenstrukturen (20) freigelegt werden;Ausbilden einer Gatedielektrikumschicht (60) mit einer Grenzflächenschicht (61) und einer High-k-Gatedielektrikumschicht (62) auf den freiliegenden Kanalbereichen der Finnenstrukturen (20);Ausbilden einer leitfähigen Sperrschicht (66) über der Gatedielektrikumschicht (60); undAnwenden eines Ionenimplantationsvorgangs (100) auf den mit der Gatedielektrikumschicht (60) und der Sperrschicht (66) versehenen Kanalbereichen der Finnenstrukturen (20),wobei der Ionenimplantationsvorgang (100) mehrere Male unter Verwendung verschiedener Implantationswinkel durchgeführt wird, um Ionen in eine Seitenfläche jeder der Kanalbereiche der Finnenstrukturen (20) einzuführen.

Description

  • TECHNISCHES GEBIET
  • Die Offenbarung betrifft Verfahren zur Herstellung von integrierten Halbleiterschaltungen und insbesondere ein Verfahren zur Herstellung von Halbleitervorrichtungen mit Fin-Feldeffekttransistoren (FinFETs).
  • HINTERGRUND
  • Mit zunehmender Verkleinerung von integrierten Schaltungen und zunehmend hohen Anforderungen an die Geschwindigkeit von integrierten Schaltungen müssen Transistoren höhere Treiberströme mit zunehmend kleineren Abmessungen aufweisen. Fin-Feldeffekttransistoren (FinFETs) wurden daher entwickelt. FinFETs umfassen vertikale Halbleiterfinnen über einem Substrat. Die Halbleiterfinnen werden verwendet, um Source- und Drain-Bereiche und Kanalbereiche zwischen den Source- und Drain-Bereichen auszubilden. Flache Grabenisolations- (STI) -Bereiche werden ausgebildet, um die Halbleiterfinnen zu definieren. Die FinFETs umfassen auch Gatestapel, die auf den Seitenwänden und den oberen Flächen der Halbleiterfinnen ausgebildet sind. Da FinFETs eine dreidimensionale Kanalstruktur haben, erfordern Ionenimplantationsverfahren an dem Kanal besondere Sorgfalt, um irgendwelche geometrischen Effekte zu verringern.
    Aus der US 2015/0187915 A1 ist ein Verfahren bekannt, bei dem Drain-Bereich einer Finnenvorrichtung bei verschiedenen Rotationswinkeln implantiert wird, wobei die verschiedenen Rotationswinkel die gleiche Neigung gegenüber einer Normalrichtung aufweisen. Kanalbereiche der Finnenvorrichtungen werden dabei nicht implantiert.
    Die Verwendung von Fluor-Ionen für ein Implantationsverfahren ist aus Chen, Y. W. et al. „Further work function and interface quality improvement on Al2O3 capped high-k/metal gate p-type metal-oxide-semiconductor field-effect-transistors by incorporation of fluorine", Thin Solid Films, Vol. 520, 2012, S. 4482 - 4485 bekannt.
    WO 2006/133310 A2 beschreibt Implantationsverfahren bei verschiedenen Implantationswinkeln. Allerdings werden diese auf keine Finnenstruktur angewendet.
    US 2009/0267161 A1 und US 2011/0156145 A1 offenbaren andere Verfahren, bei denen eine Finnenvorrichtung bei verschiedenen Implantationswinkeln implantiert wird, wobei ein Kanalbereich der Finnen nicht implantiert wird.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Die vorliegende Erfindung betrifft ein Verfahren zur Herstellung einer Halbleitervorrichtung gemäß Anspruch 1, ein Verfahren zur Herstellung einer Halbleitervorrichtung gemäß Anspruch 8 und ein Verfahren zur Herstellung einer Halbleitervorrichtung gemäß Anspruch 15. Dabei wird ein Ionenimplantationsvorgang mehrere Male unter Verwendung verschiedener Implantationswinkeln durchgeführt, um Kanalbereiche der Halbleitervorrichtung zu implantieren, wobei eine Gatedielektrikumschicht auf dem jeweiligen Kanalbereich gebildet ist. Bevorzugte Ausführungsformen der Erfindung werden in den abhängigen Ansprüchen definiert.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Zeichnungen gelesen wird. Man beachte, dass gemäß dem üblichen Vorgehen in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert werden.
    • 1 zeigt eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • Die 2A und 2B zeigen eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 3 zeigt eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • Die 4A und 4B zeigen eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • Die 5A und 5B zeigen eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • Die 6A und 6B zeigen eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • Die 7A und 7B zeigen eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • Die 8A und 8B zeigen eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 9 zeigt ein Mehrfachwinkel-Ionenimplantationsverfahren gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • Die 10A, 10B, 10C und 10D zeigen Mehrfachwinkel-Ionenimplantationsverfahren gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 11 zeigt dotierte Fluormengen in der Finnenstruktur, gemessen durch Sekundärionenmassenspektroskopie (SIMS).
    • Die 12A und 12B zeigen eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • DETAILLIERTE BESCHREIBUNG
  • Es versteht sich, dass die folgende Offenbarung viele verschiedene Ausführungsformen oder Beispiele vorsieht, um verschiedene Merkmale der Erfindung zu implementieren. Spezielle Ausführungsformen oder Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele. Beispielsweise sind Abmessungen von Elementen nicht auf die offenbarten Bereiche oder Werte beschränkt, sondern können von Prozessbedingungen und/oder den gewünschten Eigenschaften der Vorrichtung abhängen. Weiter kann das Ausbilden eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Element in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Elemente zwischen dem ersten Element und dem zweiten Element ausgebildet sein können, so dass das erste und das zweite Element nicht in direktem Kontakt stehen müssen. Verschiedene Elemente können beliebig in verschiedenen Skalen zur Einfachheit und Klarheit gezeichnet sein. In den beigefügten Zeichnungen können zur Vereinfachung einige Schichten/Merkmale weggelassen werden.
  • Weiter können räumlich relative Begriffe, wie „unten“, „unter“, „unterer“, „über“, „oberer“ und ähnliche, hier der Einfachheit der Beschreibung halber verwendet werden, um die Beziehung eines Elements oder einer Einrichtung mit anderen Element(en) oder Einrichtung(en) zu beschreiben, wie in den Figuren gezeigt ist. Die räumlich relativen Begriffe sollen verschiedene Orientierungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Orientierung umfassen. Das Bauelement kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Orientierung) und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden. Zusätzlich kann der Begriff „hergestellt aus“ entweder „umfassend“ oder „bestehend aus“ bedeuten. Ferner kann in dem folgenden Herstellungsverfahren ein oder mehrere zusätzliche Vorgänge zwischen den beschriebenen Vorgängen vorhanden sein und die Reihenfolge der Vorgänge kann geändert werden.
  • Offenbarte Ausführungsformen beziehen sich auf eine Halbleitervorrichtung, insbesondere einen Feldeffekttransistor (FET) und dessen Herstellungsverfahren. Die hier offenbarten Ausführungsformen sind allgemein nicht nur auf FinFETs anwendbar, sondern auch auf Doppelgate-, Surround-Gate-, Omega-Gate- oder Gate-All-Around- (GAA) - Transistoren und/oder Nanodrahttransistoren oder jede geeignete Vorrichtung mit einer dreidimensionalen Kanalstruktur.
  • Die 1-8B und 12A-12B zeigen verschiedene Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung. Es versteht sich, dass zusätzliche Vorgänge vor, während und nach den Verfahren vorgesehen sein können, die durch die 1-8B und 12A-12B gezeigt sind, und dass einige der unten beschriebenen Vorgänge für zusätzliche Ausführungsformen des Verfahrens ersetzt oder weggelassen werden können. Die Reihenfolge der Vorgänge/Verfahren kann geändert werden.
  • Zuerst werden, wie in 1 gezeigt, Finnenstrukturen 20 über einem Substrat 10 beispielsweise unter Verwendung eines Strukturierungsverfahrens ausgebildet. Das Substrat 10 kann, abhängig von den Entwurfsanforderungen (z. B. als p-Substrat oder n-Substrat) verschiedene dotierte Bereiche umfassen. In einigen Ausführungsformen können die dotierten Bereiche mit p- oder n-Dotierstoffen dotiert sein. Zum Beispiel können die dotierten Bereiche mit p-Dotierstoffen wie Bor oder BF2; n-Dotierstoffen wie Phosphor oder Arsen; und/oder Kombinationen davon dotiert sein. Die dotierten Bereiche können für einen n-FinFET oder alternativ für einen p-FinFET konfiguriert sein.
  • In einigen Ausführungsformen kann das Substrat 10 aus einem geeigneten elementaren Halbleiter wie Silizium, Diamant oder Germanium; einem geeigneten Legierungsoder Verbindungshalbleiter wie einem Gruppe-IV-Verbindungshalbleiter (Silizium-Germanium (SiGe), Siliziumkarbid (SiC), Silizium-Germaniumkarbid (SiGeC), GeSn, SiSn, SiGeSn), einem Gruppe-III-V-Verbindungshalbleiter (z. B. Galliumarsenid (GaAs), Indium-Galliumarsenid (InGaAs), Indiumarsenid (InAs), Indiumphosphid (InP), Indiumantimonid (InSb), Gallium-Arsenphosphid (GaAsP) oder Gallium-Indiumphosphid (GaInP)) oder dergleichen hergestellt sein. Ferner kann das Substrat 10 eine Epitaxieschicht (Epi-Schicht) umfassen, die zur Leistungsverbesserung verspannt sein kann, und/oder kann eine Silizium-auf-Isolator- (SOI) - Struktur umfassen.
  • Die Finnenstrukturen 20 können durch irgendein geeignetes Verfahren strukturiert werden. Zum Beispiel können die Finnenstrukturen unter Verwendung eines oder mehrerer Photolithographieverfahren, beispielsweise Doppelstrukturierungs- oder Mehrfachstrukturierungsverfahren, strukturiert werden. Im Allgemeinen kombinieren Doppelstrukturierungs- oder Mehrfachstrukturierungsverfahren Photolithographie- und selbstjustierte Verfahren, so dass Strukturen erzeugt werden können, die beispielsweise Mittenabstände aufweisen, die kleiner sind als die, die sonst mit einem einzigen, direkten Photolithographieverfahren erhalten werden. Beispielsweise wird in einer Ausführungsform eine Opferschicht über einem Substrat ausgebildet und unter Verwendung eines Photolithographieverfahrens strukturiert. Abstandshalter werden neben der strukturierten Opferschicht unter Verwendung eines selbstjustierten Verfahrens ausgebildet. Die Opferschicht wird dann entfernt und die verbleibenden Abstandshalter oder Dorne können dann verwendet werden, um die Finnenstrukturen zu strukturieren. In 1 sind drei Finnenstrukturen 20 gezeigt. Die Anzahl der Finnenstrukturen ist jedoch nicht auf drei beschränkt. In einigen Ausführungsformen sind eine oder mehrere Dummy-Finnenstrukturen benachbart zu der Finnenstruktur 20 eines aktiven FinFETs ausgebildet.
  • Nachdem die Finnenstrukturen ausgebildet sind, wird eine Trennisolierschicht 30 (z. B. eine flache Grabenisolation (STI)) über den Finnenstrukturen 20 und dem Substrat 10 angeordnet. Vor dem Ausbilden des Trennisolierbereichs 30 werden in einigen Ausführungsformen eine oder mehrere Auskleidungsschichten über dem Substrat 10 und Seitenwänden des Bodenabschnitts der Finnenstrukturen 20 ausgebildet. In einigen Ausführungsformen umfassen die Auskleidungsschichten eine erste Finnen-Auskleidungsschicht, die auf dem Substrat 10 und Seitenwänden des Bodenabschnitts der Finnenstrukturen 20 ausgebildet ist, und eine zweite Finnen-Auskleidungsschicht, die auf der ersten Finnen-Auskleidungsschicht ausgebildet ist. Jede der Auskleidungsschichten weist in einigen Ausführungsformen eine Dicke zwischen etwa 1 nm und etwa 20 nm auf. In einigen Ausführungsformen umfasst die erste Finnen-Auskleidungsschicht Siliziumoxid und weist eine Dicke zwischen etwa 0,5 nm und etwa 5 nm auf und die zweite Finnen-Auskleidungsschicht umfasst Siliziumnitrid und weist eine Dicke zwischen etwa 0,5 nm und etwa 5 nm auf. Die Auskleidungsschichten können durch ein oder mehrere Verfahren abgeschieden werden, wie zum Beispiel durch physikalische Gasphasenabscheidung (PVD), chemische Gasphasenabscheidung (CVD) oder Atomlagenabscheidung (ALD), obwohl irgendein geeignetes Verfahren verwendet werden kann.
  • Die Trennisolierschicht 30 umfasst eine oder mehrere Schichten aus isolierenden Materialien, beispielsweise Siliziumdioxid, Siliziumoxynitrid und/oder Siliziumnitrid, die durch LPCVD (chemische Niederdruck-Gasphasenabscheidung), Plasma-CVD oder fließfähige CVD ausgebildet werden. Bei fließfähiger CVD werden fließfähige dielektrische Materialien anstelle von Siliziumoxid abgeschieden. Fließfähige dielektrische Materialien können, wie der Name schon sagt, während des Abscheidens „fließen“, um Lücken oder Räume mit hohem Seitenverhältnis zu füllen. Üblicherweise werden verschiedene Chemikalien zu siliziumhaltigen Vorläufern hinzugefügt, um den abgeschiedenen Film fließen zu lassen. In einigen Ausführungsformen werden Stickstoffhydridbindungen hinzugefügt. Beispiele für fließfähige dielektrische Vorläufer, insbesondere fließfähige Siliziumoxid-Vorläufer, umfassen Silikat, Siloxan, Methylsilsesquioxan (MSQ), Hydrogensilsesquioxan (HSQ), MSQ/HSQ, Perhydrosilazane (TCPS), Perhydro-Polysilazan (PSZ), Tetraethylorthosilikat (TEOS) oder ein Silylamin, etwa Trisilylamine (TSA). Diese fließfähigen Siliziumoxid-Materialien werden in einem Mehrschrittverfahren ausgebildet. Nachdem der fließfähige Film abgeschieden wurde, wird er ausgehärtet und dann getempert, um ein oder mehrere unerwünschte Elemente zu entfernen, um Siliziumoxid ausbilden. Wenn das eine oder die mehreren unerwünschten Elemente entfernt wurden, verdichtet sich der fließfähige Film und schrumpft. In einigen Ausführungsformen werden mehrere Temperverfahren durchgeführt. Der fließfähige Film wird mehr als einmal ausgehärtet und getempert. Der fließfähige Film kann mit Bor und/oder Phosphor dotiert werden. Die Trennisolierschicht 30 kann in einigen Ausführungsformen aus einer oder mehreren Schichten aus SOG, SiO, SiON, SiOCN oder Fluor-dotiertem Silikatglas (FSG) bestehen.
  • Nach dem Ausbilden der Trennisolierschicht 30 über den Finnenstrukturen 20 wird ein Planarisierungsvorgang durchgeführt, um einen Teil der Trennisolierschicht 30 und eine Maskenschicht (z. B. eine Pufferoxidschicht und eine Siliziumnitrid-Maskenschicht) zu entfernen, die verwendet wird, um die Finnenstrukturen zu strukturieren. Der Planarisierungsvorgang kann ein chemisch-mechanisches Polier- (CMP) und/oder ein Rückätzverfahren umfassen. Anschließend werden Teile der Trennisolierschicht 30, die sich über den oberen Flächen der Finnenstrukturen 20 erstrecken, und Teile der Auskleidungsschichten über den oberen Flächen der Finnenstrukturen 20 entfernt, beispielsweise unter Verwendung eines Ätzverfahrens, chemisch-mechanischen Polierens (CMP) oder dergleichen. Weiter wird die Trennisolierschicht 30 vertieft, um den oberen Teil der Finnenstrukturen 20 freizulegen. In einigen Ausführungsformen wird die Trennisolierschicht 30 unter Verwendung eines einzigen Ätzverfahrens oder mehrerer Ätzverfahren vertieft. In einigen Ausführungsformen, in denen die Trennisolierschicht 30 aus Siliziumoxid besteht, kann das Ätzverfahren zum Beispiel ein Trockenätzverfahren, ein chemisches Ätzverfahren oder ein Nassreinigungsverfahren sein. In bestimmten Ausführungsformen kann das teilweise Entfernen der Trennisolierschicht 30 unter Verwendung eines Nassätzverfahrens durchgeführt werden, beispielsweise durch Eintauchen des Substrats in Fluorwasserstoffsäure (HF). In einer weiteren Ausführungsform kann das teilweise Entfernen der Trennisolierschicht 30 unter Verwendung eines Trockenätzverfahrens durchgeführt werden. Zum Beispiel kann ein Trockenätzverfahren unter Verwendung von CHF3 oder BF3 als Ätzgase verwendet werden.
  • Nach dem Ausbilden der Trennisolierschicht 30 kann ein thermisches Verfahren, beispielsweise ein Temperverfahren, durchgeführt werden, um die Qualität der Trennisolierschicht 30 zu verbessern. In bestimmten Ausführungsformen wird das thermische Verfahren unter Verwendung eines schnellen thermischen Temperns (RTA) bei einer Temperatur in einem Bereich von etwa 900 °C bis etwa 1050 °C für etwa 1,5 Sekunden bis etwa 10 Sekunden in einer Inertgasumgebung wie einer N2-, Ar- oder He-Umgebung durchgeführt.
  • Wie in 1 gezeigt, erstrecken sich die Finnenstrukturen 20 in der X-Richtung und sind in der Y-Richtung mit einem gleichen Mittenabstand P1 angeordnet. Die Breite W1 der Finnenstruktur 20 in dieser Stufe liegt in einigen Ausführungsformen in einem Bereich von etwa 5 nm bis etwa 40 nm. In bestimmten Ausführungsformen liegt die Breite W1 der Finnenstruktur 20 in einem Bereich von etwa 7 nm bis etwa 15 nm. Die Höhe H1 der Finnenstruktur 20, gemessen von der oberen Fläche der Trennisolierschicht 30, liegt in dieser Stufe in einigen Ausführungsformen in einem Bereich von etwa 50 nm bis etwa 300 nm. In bestimmten Ausführungsformen liegt die Höhe H1 der Finnenstruktur 20 in einem Bereich von etwa 50 nm bis etwa 100 nm. Der Mittenabstand P1 der Finnenstrukturen 20 liegt in einigen Ausführungsformen in einem Bereich von etwa 10 nm bis etwa 90 nm. In bestimmten Ausführungsformen liegt die Breite der Finnenstruktur 20 in einem Bereich von etwa 14 nm bis etwa 45 nm. Der Abstand zwischen den Finnenstrukturen 20 liegt in einigen Ausführungsformen in einem Bereich von etwa 5 nm bis etwa 80 nm und kann in weiteren Ausführungsformen in einem Bereich von etwa 7 nm bis etwa 15 nm liegen.
  • Nachdem die Finnenstrukturen 20 und die Trennisolierschicht 30 ausgebildet sind, wird eine Dummy-Gatestruktur 40 mit einer Dummy-Gatedielektrikumsschicht 41 und einer Dummy-Gateelektrodenschicht 45 über den freiliegenden Finnenstrukturen 120 ausgebildet, die anschließend als Kanalschichten verwendet werden, wie in den 2A und 2B gezeigt. 2A ist eine Perspektivansicht und 2B eine Querschnittsansicht, die zu der Linie a-a von 2A entlang der Y-Richtung gehört.
  • Die Dummy-Gatedielektrikumsschicht 41 und die Dummy-Gateelektrodenschicht 45 werden anschließend verwendet, um die Source/Drain-Bereiche zu definieren und auszubilden. In einigen Ausführungsformen werden die Dummy-Gatedielektrikumsschicht 41 und die Dummy-Gateelektrodenschicht 45 durch Abscheiden und Strukturieren einer Dummy-Dielektrikumsschicht, die über den freiliegenden Finnenstrukturen 20 ausgebildet wird, und einer Dummy-Elektrodenschicht über der Dummy-Gatedielektrikumsschicht ausgebildet. Die Dummy-Dielektrikumsschicht 41 kann durch thermische Oxidation, CVD, Sputtern oder irgendwelche anderen bekannten und in der Technik verwendeten Verfahren zum Ausbilden einer Dummy-Dielektrikumsschicht ausgebildet werden. In einigen Ausführungsformen kann die Dummy-Dielektrikumsschicht 41 aus einem oder mehreren geeigneten Dielektrika wie Siliziumoxid, Siliziumnitrid, SiCN, SiON und SiN, Low-k-Dielektrika wie kohlenstoffdotierten Oxiden, Extremely-Low-k-Dielektrika wie porösem kohlenstoffdotiertem Siliziumdioxid, einem Polymer wie etwa Polyimid, dergleichen oder einer Kombination davon hergestellt werden. In einer Ausführungsform wird SiO2 verwendet.
  • Anschließend wird die Dummy-Gateelektrodenschicht 45 über der Dummy-Gatedielektrikumsschicht ausgebildet. In einigen Ausführungsformen besteht die Dummy-Gateelektrodenschicht aus einem leitfähigen Material und ist ausgewählt aus einer Gruppe, die amorphes Silizium, Polysilizium, amorphes Germanium, Polygermanium, amorphes Silizium-Germanium, Poly-Silizium-Germanium, metallische Nitride, metallische Silizide, metallische Oxide und Metalle umfasst. Die Dummy-Elektrodenschicht kann durch PVD, CVD, Sputter-Abscheidung oder andere Techniken abgeschieden werden, die auf dem Fachgebiet zum Abscheiden von leitfähigen Materialien bekannt sind und verwendet werden. Andere Materialien, leitfähig und nicht leitfähig, können verwendet werden. In einer Ausführungsform wird Polysilizium verwendet.
  • Eine Maskenstruktur kann über der Dummy-Gateelektrodenschicht 45 ausgebildet werden, um die Strukturierung zu unterstützen. Die Maskenstruktur umfasst eine erste Maskenschicht und eine zweite Maskenschicht, die auf der ersten Maskenschicht angeordnet ist. Die Maskenstruktur umfasst eine oder mehrere Schichten aus SiO2, SiCN, SiON, Aluminiumoxid, Siliziumnitrid oder anderen geeigneten Materialien. In einigen Ausführungsformen umfasst die erste Maskenschicht Siliziumnitrid oder SiON und die zweite Maskenschicht umfasst Siliziumoxid. Unter Verwendung der Maskenstruktur als Ätzmaske wird die Dummy-Elektrodenschicht als Dummy-Gateelektrode 45 strukturiert. In einigen Ausführungsformen wird die Dummy-Dielektrikumsschicht auch strukturiert, um die Dummy-Gatedielektrikumsschicht zu definieren. Die Finnenstrukturen 20 erstrecken sich in der X-Richtung und die Dummy-Gatestruktur 40 erstreckt sich in der Y-Richtung im Wesentlichen senkrecht zu der X-Richtung. In den 2A und 2B ist eine Dummy-Gatestruktur gezeigt. Die Anzahl der Dummy-Gatestrukturen ist jedoch nicht auf eins beschränkt.
  • Weiter werden Seitenwandabstandshalter 47 an gegenüberliegenden Seitenwänden der Dummy-Gatestruktur 40 ausgebildet, wie in 2A gezeigt ist. Die Seitenwandabstandshalter 47 umfassen eine oder mehrere dielektrische Schichten. In einer Ausführungsform sind die Seitenwandabstandshalter 47 aus einem oder mehreren von Siliziumoxid, Siliziumnitrid, SiOCN, SiCN, Aluminiumoxid, AlCO oder AlCN oder irgendeinem anderen geeigneten dielektrischen Material hergestellt. Eine Deckschicht aus einem Seitenwand-Isoliermaterial kann durch CVD, PVD, ALD oder eine andere geeignete Technik ausgebildet werden. Dann wird ein anisotropes Ätzen auf das Seitenwand-Isoliermaterial angewendet, um ein Paar Seitenwand-Isolierschichten (Abstandshalter) 47 auf zwei Hauptseiten der Gatestruktur auszubilden. Die Dicke der Seitenwand-Isolierschichten 47 liegt in einigen Ausführungsformen in einem Bereich von etwa 5 nm bis etwa 30 nm und in weiteren Ausführungsformen in einem Bereich von etwa 10 nm bis etwa 20 nm.
  • Anschließend werden die Source/Drain-Bereiche der Finnenstrukturen 20 unter die obere Fläche der Trennisolierschicht 30 vertieft. Dann wird eine Source/Drain-Epitaxieschicht 600 über den vertieften Source/Drain-Bereichen der Finnenstrukturen 20 ausgebildet, wie in 3 gezeigt. In einigen Ausführungsformen ist die Source/Drain-Epitaxieschicht 600 eine verschmolzene Epitaxieschicht, wie in 3 gezeigt. In weiteren Ausführungsformen wird die Source/Drain-Epitaxieschicht 600 einzeln über den vertieften Finnenstrukturen 20 ausgebildet, ohne dass benachbarte Source/Drain-Epitaxieschichten verschmolzen werden.
  • Die Materialien, die für die Source/Drain-Epitaxieschicht 600 verwendet werden, können für die n- und p-FinFETs variiert werden, so dass eine Art von Material für die n-FinFETs verwendet wird, um eine Zugspannung in dem Kanalbereich auszuüben, und eine andere Art von Material für die p-FinFETs verwendet wird, um eine Druckspannung auszuüben. Zum Beispiel kann SiP oder SiC verwendet werden, um n-FinFETs auszubilden, und SiGe oder Ge kann verwendet werden, um p-FinFETs auszubilden. In einigen Ausführungsformen ist Bor (B) in die Source/Drain-Epitaxieschicht für die p-FinFETs dotiert. Andere Materialien können verwendet werden. In einigen Ausführungsformen umfasst die Source/Drain-Epitaxieschicht 600 zwei oder mehr Epitaxieschichten mit unterschiedlichen Zusammensetzungen und/oder unterschiedlichen Dotierstoffkonzentrationen. Die Source/Drain-Epitaxieschicht 600 kann durch CVD, ALD, Molekularstrahlepitaxie (MBE) oder beliebige andere geeignete Verfahren ausgebildet werden.
  • Nachdem die Source/Drain-Epitaxieschicht 600 ausgebildet ist, wird eine Zwischenschicht-Dielektrikums- (ILD) -Schicht 50 ausgebildet. In einigen Ausführungsformen wird vor dem Ausbilden der ILD-Schicht eine Ätzstoppschicht (ESL) über der Source/Drain-Epitaxieschicht 600 und den Seitenwandabstandshaltern 47 ausgebildet. Die ESL besteht aus Siliziumnitrid oder einem Siliziumnitrid-basierten Material (z. B. SiON, SiCN oder SiOCN). Die Materialien für die ILD-Schicht 50 umfassen Verbindungen, die Si, O, C und/oder H umfassen, wie etwa Siliziumoxid, SiCOH und SiOC. Organische Materialien wie Polymere können für die ILD-Schicht 50 verwendet werden.
  • Nachdem die ILD-Schicht 50 ausgebildet ist, wird ein Planarisierungsvorgang wie etwa ein Rückätzverfahren und/oder ein chemisch-mechanisches Polier- (CMP) -Verfahren durchgeführt, um die obere Fläche der Dummy-Gateelektrodenschicht 45 freizulegen, wie in den 4A und 4B gezeigt. 4A ist eine Querschnittsansicht entlang der X-Richtung und 4B ist eine Querschnittsansicht entlang der Y-Richtung. In den 4-8 und 11 sind der Einfachheit halber nur zwei Finnenstrukturen 20 gezeigt.
  • Dann wird, wie in den 5A und 5B gezeigt, die Dummy-Gateelektrodenschicht 45 entfernt, wodurch ein Gate-Hohlraum 49 ausgebildet wird. Wenn die Dummy-Gateelektrodenschicht 45 aus Polysilizium besteht und die ILD-Schicht 50 aus Siliziumoxid besteht, kann ein Nassätzmittel wie eine Tetramethylammoniumhydroxid- (TMAH) -Lösung verwendet werden, um die Dummy-Gateelektrodenschicht selektiv zu entfernen. Weiter wird die Dummy-Gatedielektrikumsschicht 41 danach unter Verwendung von Plasma-Trockenätzen und/oder Nassätzen entfernt, wodurch der obere Abschnitt der Finnenstrukturen 20 freigelegt wird, wie in den 6A und 6B gezeigt.
  • Nachdem der obere Abschnitt der Finnenstrukturen 20 freigelegt ist, wird in dem Gate-Hohlraum 49 eine Gatedielektrikumsschicht 60 mit einer Grenzflächenschicht 61 und einer High-k-Gatedielektrikumsschicht 62 auf den freiliegenden Finnenstrukturen (Kanalschichten) 20 ausgebildet, wie in den 7A und 7B gezeigt. Die Grenzschicht 61 ist in einigen Ausführungsformen ein chemisch ausgebildetes Siliziumoxid. Das chemische Siliziumoxid kann unter Verwendung von entionisiertem Wasser + Ozon (DIO3), NH4OH + H2O2 + H2O (APM) oder anderen Verfahren ausgebildet werden. Die High-k-Gatedielektrikumsschicht 62 umfasst eine oder mehrere Schichten aus HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, Zirkoniumoxid, Aluminiumoxid, Titanoxid, Hafniumdioxid-Aluminiumoxid (HfO2-Al2O3) -Legierung oder anderen geeigneten High-k-Dielektrika. Die Gatedielektrikumsschicht 60 kann durch CVD, ALD oder ein beliebiges geeignetes Verfahren ausgebildet werden. In einer Ausführungsform wird die Gatedielektrikumsschicht 60 durch ein hochgradig konformes Abscheidungsverfahren wie ALD ausgebildet, um das Ausbilden einer Gatedielektrikumsschicht mit einer einheitlichen Dicke um jede Kanalschicht herum sicherzustellen. Die Dicke der Gatedielektrikumsschicht 60 liegt in einer Ausführungsform im Bereich von etwa 1 nm bis etwa 6 nm.
  • Zusätzlich wird eine leitfähige Schicht als Sperrschicht 66 über der Gatedielektrikumsschicht 60 ausgebildet. Die Sperrschicht 66 umfasst eine oder mehrere Schichten aus TaN, TiN, TiN, die mit Si dotiert sind, oder irgendein anderes geeignetes leitfähiges Material. Nachdem die Sperrschicht 66 ausgebildet ist, wird in einigen Ausführungsformen ein Tempervorgang (z. B. bei einer Temperatur von etwa 700-900 °C) durchgeführt. Die Dicke der Sperrschicht 66 liegt in einigen Ausführungsformen in einem Bereich von etwa 0,5 nm bis etwa 5 nm.
  • In einigen Ausführungsformen wird ferner eine Deckschicht 67 auf der Sperrschicht 66 ausgebildet, wie in den 7A und .7B gezeigt. Die Deckschicht 67 besteht in einigen Ausführungsformen aus einem amorphen Material, beispielsweise amorphem Si, amorphem Ge oder irgendeinem anderen geeigneten Material. Die Dicke der Deckschicht 67 liegt in einigen Ausführungsformen in einem Bereich von etwa 0,5 nm bis etwa 5 nm.
  • Nachdem die Deckschicht 67 ausgebildet ist, wird ein Ionenimplantationsvorgang durchgeführt, um Dotierstoffe in die Kanalschicht einzuführen, um die elektrischen Eigenschaften der FinFETs zu verbessern. In bestimmten Ausführungsformen wird Fluor (F) als Dotierstoff verwendet. In der vorliegenden Offenbarung werden Mehrfachwinkel-Ionenimplantationsvorgänge 100 durchgeführt. Das implantierte Fluor kann Defekte verringern, die in der Grenzfläche zwischen dem Kanal (z. B. Si) und der Grenzflächenschicht (z. B. Oxid) existieren.
  • Ionenimplantationsvorgänge in einer Struktur mit einem hohen Seitenverhältnis, wie beispielsweise Finnenstrukturen, müssen einige Probleme bewältigen, beispielsweise einen Abschattungseffekt. Aufgrund des Abschattungseffekts können Ionen nicht gleichmäßig in die Finnenstrukturen implantiert werden. In der vorliegenden Offenbarung wird zur Lösung dieses Problems ein Mehrfachwinkel-Implantationsverfahren verwendet. Durch Verwenden kleinerer Neigungswinkel in Bezug auf die Normale senkrecht zur Oberfläche des Substrats (Z-Richtung) ist es möglich, Ionen in die oberen und unteren Abschnitte der Finnenstrukturen zu implantieren, während es durch Verwendung größerer Neigungswinkel in Bezug auf die Normale möglich ist, Ionen in Seitenflächen der Finnenstrukturen zu implantieren.
  • 9 zeigt eine konzeptionelle Figur, die Mehrfachwinkel-Ionenimplantationen darstellt. Bei der Mehrfachwinkel-Ionenimplantation wird einer der Implantationsvorgänge (Hauptimplantation) mit einem Winkel θ0 in Bezug auf die Normale ND durchgeführt, wobei θ0 = tan-1((P1-W2)/H2) × 180/π (Grad) ist. P1 ist ein Mittenabstand der Finnenstrukturen 20, W2 eine Breite der Finnenstrukturen 20 in dieser Stufe des Herstellungsverfahrens und H2 eine Höhe der Finnenstrukturen 20 über der Trennisolierschicht 30 in dieser Stufe des Herstellungsverfahrens. Die Größe P1-W2 bezeichnet den Abstand zwischen benachbarten Finnenstrukturen. Die Breite W2 und die Höhe H2 der Finnenstrukturen 20 schließen die Dicke der Gatedielektrikumsschicht 60, der Sperrschicht 66 und der Deckschicht 67 ein und die Höhe H2 der Finnenstrukturen 20 wird von der oberen Fläche der isolierende Deckschicht 67 gemessen, wie in den 8A und 8B gezeigt ist. In 9 sind die Gatedielektrikumsschicht 60, die Sperrschicht 66 und die Deckschicht 67 der Einfachheit halber nicht gezeigt. In weiteren Ausführungsformen können die Breite W2 und die Höhe H2 definiert werden, ohne die Gatedielektrikumsschicht 60, die Sperrschicht 66 und die Deckschicht 67 zu berücksichtigen.
  • Der Winkel θ der geneigten Ionenimplantation ist als ein spitzer Winkel (kleinerer Winkel) definiert, der von der Normale ND (der Z-Richtung) einer Hauptfläche des Substrats und einer Ionenstrahlrichtung in der ZY-Ebene gebildet wird, die durch die Z-Richtung und die Y-Richtung gebildet wird. Wenn der Winkel Null ist, ist die Richtung des Ionenstrahls im Wesentlichen parallel zur Normale des Substrats.
  • Die Hauptionenimplantation 101 bei dem Winkel θ0 wird zweimal durchgeführt, um Ionen in eine der Seitenflächen der Finnenstrukturen 20 (z. B. die rechte Seite in 9) und die andere Seitenfläche der Finnenstruktur 20 (z B. die linke Seite in 9) zu implantieren, indem beispielsweise das Substrat (Wafer) um 180 Grad um seine Mittelachse gedreht wird. In weiteren Ausführungsformen werden die zwei Hauptionenimplantationen bei dem Winkel +θ0 und -θ0 durchgeführt, ohne den Wafer zu drehen. Somit werden Ionenimplantationen mit mehreren geneigten Winkeln auf eine Seitenfläche der Finnenstruktur angewendet. In einigen Ausführungsformen wird θ0 nicht notwendigerweise aus der obigen Gleichung berechnet und ist in einem Bereich von 5 Grad bis 10 Grad festgelegt.
  • Wenn sich die Finnenstrukturen nur in einer Richtung (z. B. der X-Richtung) erstrecken, werden keine Neigungswinkelimplantationen mit 90- und 270-Grad Wafer-Drehung durchgeführt. Wenn sich die Finnenstrukturen in zwei Richtungen erstrecken (z. B. der X- und der Y-Richtung), können Neigungswinkelimplantationen mit 90- und 270-Grad Wafer-Drehung durchgeführt werden.
  • Wie in 9 gezeigt, wird eine erste zusätzliche Ionenimplantation 102 mit Neigungswinkeln von θ1 = θ0 + α durchgeführt, wobei α in einem Bereich von 1,5 Grad bis 3,0 Grad liegt. In einigen Ausführungsformen ist α = 2 Grad. Ähnlich wie bei der Hauptionenimplantation wird die erste Ionenimplantation beim Winkel θ1 zweimal durchgeführt, indem das Substrat (Wafer) um 180 Grad gedreht wird. In weiteren Ausführungsformen werden die zwei ersten zusätzlichen Ionenimplantationen bei den Winkeln +θ1 und -θ1 durchgeführt.
  • Ferner wird in einigen Ausführungsformen eine zweite zusätzliche Ionenimplantation 103 mit Neigungswinkeln von θ2 = θ0 - α durchgeführt, wobei α in einem Bereich von 1,5 Grad bis 3,0 Grad liegt. In einigen Ausführungsformen ist α = 2 Grad. Ähnlich wie bei der Hauptionenimplantation wird die zweite Ionenimplantation bei dem Winkel θ2 zweimal durchgeführt, indem das Substrat (Wafer) um 180 Grad gedreht wird. In weiteren Ausführungsformen werden die zwei zweiten zusätzlichen Ionenimplantationen in dem Winkel +θ2 und -θ2 durchgeführt.
  • In einigen Ausführungsformen wird zusätzlich zu der Implantation mit Neigungswinkeln von θ0 ± α ein Ionenimplantationsvorgang mit Neigungswinkeln von θ4 = θ0 + 2α und θ5 = θ0 - 2α durchgeführt. In bestimmten Ausführungsformen werden Ionenimplantationsvorgänge mit Neigungswinkeln von θ6 = θ0 + 3α und θ7 = θ0 - 3α durchgeführt. Die Winkel können durch Neigen einer Stufe, auf der das Substrat (Wafer) angeordnet ist, in Bezug auf die Ionenstrahlrichtung geändert werden. In einigen Ausführungsformen ist einer der Neigungswinkel Null.
  • Die 10A-10D zeigen einen der Effekte des Mehrfachwinkel-Ionenimplantationsverfahrens gemäß den vorliegenden Ausführungsformen. Bei der Neigungswinkelimplantation 201, die in 10A gezeigt ist, ist der Neigungswinkel auf θ11 (±θ11) eingestellt, was der kleinste Neigungswinkel bei der Mehrfachwinkel-Ionenimplantation ist. Durch diese Implantation können die Ionen in den Großteil oder die Gesamtheit der Seitenflächen und den oberen Bereich der Finnenstrukturen 20 implantiert werden. Die Abmessung L11 ist eine Länge des Seitenflächenbereichs, in den die Ionen implantiert werden, die Abmessung W11 ist eine Tiefe des implantierten Bereichs der Seitenfläche und die Abmessung T11 ist eine Tiefe des implantierten Bereichs an der Oberseite der Finnenstruktur 20.
  • In der Neigungswinkelimplantation 202, die in 10B gezeigt ist, ist der Neigungswinkel bei der Mehrfachwinkel-Ionenimplantation auf θ12 (±θ12) eingestellt, der größer als θ11 ist. Durch diese Implantation werden die Ionen aufgrund des Abschattungseffekts der Finnenstrukturen nicht in den Bodenbereich der Finnenstruktur 20 implantiert. Die Abmessung L12, die eine Länge des Seitenflächenbereichs ist, in den die Ionen implantiert werden, ist kleiner als L11, die Abmessung W12, die eine Tiefe des implantierten Bereichs der Seitenfläche ist, ist größer als W11, und die Abmessung T12, die eine Tiefe des implantierten Bereichs an der Oberseite der Finnenstruktur ist, ist größer als T11.
  • Bei der Neigungswinkelimplantation 203, die in 10C gezeigt ist, ist der Neigungswinkel bei der Mehrfachwinkel-Ionenimplantation auf θ13 (±013) eingestellt, der größer als θ12 ist. Durch diese Implantation werden die Ionen aufgrund des Abschattungseffekts der Finnenstrukturen nicht in den Bodenbereich der Finnenstruktur 20 implantiert. Die Abmessung L13, die eine Länge des Seitenflächenbereichs ist, in den die Ionen implantiert werden, ist kleiner als L12, die Abmessung W13, die eine Tiefe des implantierten Bereichs der Seitenfläche ist, ist größer als W12, und die Abmessung T13, die eine Tiefe des implantierten Bereichs an der Oberseite der Finnenstruktur ist, ist größer als T12.
  • Weiter wird bei der in 10D gezeigten Neigungswinkelimplantation 204 der Neigungswinkel bei der Mehrfachwinkel-Ionenimplantation auf θ14 (±θ14) eingestellt, der größer als θ13 ist, was der größte Neigungswinkel sein kann. Durch diese Implantation werden die Ionen aufgrund des Abschattungseffekts der Finnenstrukturen nicht in die unteren und mittleren Bereiche der Finnenstruktur 20 implantiert. Die Abmessung L14, die eine Länge des Seitenflächenbereichs ist, in den die Ionen implantiert werden, ist kleiner als L13, die Abmessung W14, die eine Tiefe des implantierten Bereichs der Seitenfläche ist, ist größer als W13, und die Abmessung T14, die eine Tiefe des implantierten Bereichs an der Oberseite der Finnenstruktur ist, ist größer als T13.
  • Wie in den 10A-10D gezeigt, ist, wenn der Neigungswinkel kleiner ist, die Dosismenge in den oberen Bereich der Finnenstruktur größer als die Dosismenge in den Seitenflächenbereich der Finnenstruktur. Im Gegensatz dazu nimmt, wenn der Neigungswinkel größer wird, die Dosismenge in den oberen Bereich der Finnenstruktur ab und die Dosismenge in den Seitenflächenbereich der Finnenstruktur zu. Durch Kombination von zwei oder mehr Neigungswinkeln ist es möglich, den Dotierstoff gleichmäßiger in die oberen, unteren und Seitenflächen der Finnenstrukturen einzuführen.
  • Die erforderliche Dosismenge (d. h. die Gesamtdosismenge) kann durch alle Ionenimplantationen geteilt werden. In einigen Ausführungsformen ist die Dosismenge für jede Implantation gleichmäßig verteilt. In weiteren Ausführungsformen werden unterschiedliche Dosismengen für jede der mehreren Ionenimplantationen festgelegt. Die Dosismengen für Ionenimplantationen für 0- und 180-Grad Wafer-Drehungen (Neigungswinkel (±θ)) sind bei einem gegebenen Neigungswinkel gleich. Zum Beispiel werden, wie in den 10A-10D gezeigt, vier Neigungswinkel verwendet, wobei die Dosismenge bei jeder Ionenimplantation bei einem gegebenen Winkel etwa 25% der Gesamtdosismenge beträgt. In einigen Ausführungsformen wird die Dosismenge kleiner eingestellt, wenn der Neigungswinkel größer ist. In weiteren Ausführungsformen wird die Dosismenge höher eingestellt, wenn der Neigungswinkel größer ist.
  • In einigen Ausführungsformen werden die Fluor-Ionen mit einer Beschleunigungsenergie in einem Bereich von etwa 250 eV bis etwa 350 eV implantiert. Die Gesamtdosismenge von Fluor liegt in einigen Ausführungsformen in einem Bereich von etwa 1 × 1014 cm-2 bis 2 × 1015 cm-2 und liegt in weiteren Ausführungsformen in einem Bereich von etwa 5 × 1014 cm-2 bis 1 × 1015 cm-2.
  • In den 10A-10D werden vier Neigungswinkel (acht Neigungswinkelimplantationsvorgänge für die linke Seitenfläche und die rechte Seitenfläche) verwendet. Die Anzahl der Neigungswinkel ist jedoch nicht auf vier beschränkt. Sie kann nur zwei betragen und kann in einigen Ausführungsformen mehr als vier und bis zu zehn betragen.
  • In den vorangehenden Ausführungsformen sind die Neigungswinkel diskret festgelegt (z. B. θa, θb, θc, ....). In einigen Ausführungsformen beträgt der Unterschied zwischen den Winkeln etwa 1,5-3 Grad, beispielsweise etwa 2 Grad. In weiteren Ausführungsformen wird der Neigungswinkel während des gesamten Ionenimplantationsvorgangs von dem kleinsten Neigungswinkel zu dem größten Neigungswinkel allmählich geändert.
  • 11 zeigt eine dotierte Fluormenge in die Finnenstruktur, gemessen durch Sekundärionenmassenspektroskopie (SIMS). In 11 zeigt die horizontale Achse die Höhe der Finnenstruktur (die Position von oben nach unten). Wie in 11 gezeigt, werden, wenn die Mehrfachwinkelimplantation verwendet wird, Fluoratome gleichmäßiger implantiert als bei der Einzelwinkelimplantation. Die Gleichmäßigkeit ((höchster Wert - niedrigster Wert)/niedrigster Wert) beträgt etwa 21,5% für die Mehrfachwinkelimplantation, während die Gleichmäßigkeit etwa 29% für die Einzelwinkelimplantation beträgt. Durch Einstellen der Anzahl der Neigungswinkelimplantationen, der Winkel und/oder der Dosismenge für jede Implantation ist es möglich, eine Gleichförmigkeit von etwa 20-25% zu erhalten.
  • Die 12A und 12B zeigen eine der verschiedenen Stufen eines Halbleitervorrichtungs-Herstellungsverfahrens gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • Nachdem die Mehrfachionenimplantationen durchgeführt worden sind, wird die Deckschicht 67 entfernt. Dann wird eine oder mehrere leitfähige Schichten über der Sperrschicht 66 ausgebildet, wodurch eine Metall-Gateelektrode 65 ausgebildet wird.
  • In einigen Ausführungsformen wird eine oder mehrere Austrittsarbeits-Einstellungsschichten 68 über der Sperrschicht 66 ausgebildet und eine Hauptmetallschicht 69 wird über der Austrittsarbeits-Einstellungsschicht 68 ausgebildet. Die Austrittsarbeits-Einstellungsschicht 68 besteht aus einem leitfähigen Material wie einer einzelnen Schicht aus TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi oder TiAlC oder einer Mehrfachschicht aus zwei oder mehr dieser Materialien. Für den n-Kanal-FET wird eines oder mehrere von TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi und TaSi als Austrittsarbeits-Einstellungsschicht verwendet und für den p-Kanal-FET eines oder mehrere von TiAlC, Al, TiAl, TaN, TaAlC, TiN, TiC und Co als Austrittsarbeits-Einstellungsschicht verwendet.
  • In einigen Ausführungsformen umfasst die Hauptmetallschicht 69 ein metallisches Material, das aus der Gruppe bestehend aus W, Cu, Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn, Co, Pd, Ni, Re, Ir, Ru, Pt und Zr ausgewählt ist. In einigen Ausführungsformen umfasst die Hauptmetallschicht 69 ein Metall, das aus einer Gruppe bestehend aus TiN, WN, TaN und Ru ausgewählt ist. Es können Metalllegierungen wie Ti-Al, Ru-Ta, Ru-Zr, Pt-Ti, Co-Ni und Ni-Ta verwendet werden und/oder es können Metallnitride wie WNx, TiNx, MoNx, TaNx und TaSixNy verwendet werden. Die Austrittsarbeit-Einstellungsschicht und die Hauptmetallschicht können unter Verwendung eines geeigneten Verfahrens wie Atomlagenabscheidung (ALD), chemische Gasphasenabscheidung (CVD), physikalische Gasphasenabscheidung (PVD), Plattierung oder Kombinationen davon ausgebildet werden.
  • Nach dem Ausbilden der Gateelektrode 65 werden weitere CMOS-Verfahren durchgeführt, um verschiedene Merkmale wie zusätzliche Zwischenschicht-Dielektrikumsschichten, Kontakte/Durchkontaktierungen, Verbindungsmetallschichten und Passivierungsschichten usw. auszubilden. Die vorstehenden Mehrfachwinkel-Ionenimplantationsvorgänge können sowohl auf n-FinFETs als auch auf p-FinFETs angewendet werden.
  • Unter Verwendung der vorstehenden Herstellungsvorgänge wurde ein Ringoszillator mit drei CMOS-Invertern hergestellt. Die elektrische Leistungsfähigkeit des Ringoszillators, der mit der Mehrfachwinkel-Ionenimplantation hergestellt wurde, zeigte eine etwa 3%ige Verbesserung im Vergleich zu einem Ringoszillator, der durch eine Einzelwinkel-Ionenimplantation hergestellt wurde.
  • Ferner kann die Mehrfachwinkelimplantation auf eine oder mehrere Herstellungsstufen angewendet werden, um Dotierstoffe in die Finnenstrukturen zu implantieren. Zum Beispiel kann eine Mehrfachwinkel-Ionenimplantation auf die Finnenstrukturen angewendet werden, nachdem die Finnenstruktur ausgebildet ist, wie in 1 gezeigt. In einem solchen Fall kann der Dotierstoff mindestens einer aus der Gruppe bestehend aus P, As und BF2 sein.

Claims (19)

  1. Verfahren zur Herstellung einer Halbleitervorrichtung, umfassend: Ausbilden von Finnenstrukturen (20) über einem Substrat (10); und Ausbilden einer Dummy-Gatestruktur (40) über den Finnenstrukturen (20); und Ausbilden von Seitenwandabstandshaltern (47) an gegenüberliegenden Seitenwänden der Dummy-Gatestruktur (40); und Entfernen der Dummy-Gatestruktur (40), wodurch Kanalbereiche der Finnenstrukturen (20) freigelegt werden; Ausbilden einer Gatedielektrikumschicht (60) mit einer Grenzflächenschicht (61) und einer High-k-Gatedielektrikumschicht (62) auf den freiliegenden Kanalbereichen der Finnenstrukturen (20); Ausbilden einer leitfähigen Sperrschicht (66) über der Gatedielektrikumschicht (60); und Anwenden eines Ionenimplantationsvorgangs (100) auf den mit der Gatedielektrikumschicht (60) und der Sperrschicht (66) versehenen Kanalbereichen der Finnenstrukturen (20), wobei der Ionenimplantationsvorgang (100) mehrere Male unter Verwendung verschiedener Implantationswinkel durchgeführt wird, um Ionen in eine Seitenfläche jeder der Kanalbereiche der Finnenstrukturen (20) einzuführen.
  2. Verfahren nach Anspruch 1, wobei: die Finnenstrukturen (20) sich in einer X-Richtung erstrecken, und ein Winkel θ jedes der verschiedenen Implantationswinkel als ein spitzer Winkel definiert ist, der gebildet wird durch eine Normale einer Hauptfläche des Substrats (10), die eine Z-Richtung ist, und eine Ionenstrahlrichtung in einer ZY-Ebene, die durch die Z-Richtung und eine Y-Richtung gebildet wird, wobei die Y-Richtung senkrecht zu der Z-Richtung und der X-Richtung ist.
  3. Verfahren nach Anspruch 1 oder 2, wobei Fluor-Ionen durch den Ionenimplantationsvorgang (100) in die Finnenstrukturen (20) implantiert werden.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei der Ionenimplantationsvorgang (100) unter Verwendung von drei bis sechs verschiedenen Implantationswinkeln durchgeführt wird.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei eine Dosismenge der Ionen abnimmt, wenn ein Implantationswinkel zunimmt.
  6. Verfahren nach einem der vorhergehenden Ansprüche 1 bis 4, wobei eine Dosismenge der Ionen für jeden Implantationsvorgang (100) gleich ist.
  7. Verfahren nach einem der Ansprüche 1 bis 6, wobei eine Dosismenge der Ionen von einem Implantationsvorgang (100) zu einem anderen Implantationsvorgang (100) unterschiedlich ist.
  8. Verfahren zur Herstellung einer Halbleitervorrichtung, umfassend: Ausbilden von Finnenstrukturen (20), die jeweils einen oberen Abschnitt und einen unteren Abschnitt aufweisen, wobei der untere Abschnitt in einer Trennisolierschicht eingebettet ist, die über einem Substrat (10) angeordnet ist, und der obere Abschnitt aus der Trennisolierschicht vorsteht; Ausbilden einer Dummy-Gatestruktur (40) über den Finnenstrukturen (20); und Ausbilden von Seitenwandabstandshaltern (47) an gegenüberliegenden Seitenwänden der Dummy-Gatestruktur (40); und Entfernen der Dummy-Gatestruktur (40), wodurch ein oberer Abschnitt jeder der Finnenstrukturen (20) freigelegt wird; und Ausbilden einer Gatedielektrikumsschicht (60) über dem oberen Abschnitt jeder der Finnenstrukturen (20); Ausbilden einer leitfähigen Schicht (66) über der Gatedielektrikumsschicht (60); Ausbilden einer Deckschicht (67) über der leitfähigen Schicht (66); und Anwenden eines Ionenimplantationsvorgangs (100) auf Kanalbereiche der Finnenstrukturen (20) mit der Deckschicht (67), wobei der Ionenimplantationsvorgang (100) mehrere Male unter Verwendung verschiedener Implantationswinkel durchgeführt wird, um Ionen in einer Seitenfläche jeder der Finnenstrukturen (20) einzuführen.
  9. Verfahren nach Anspruch 8, wobei: die Finnenstrukturen (20) sich in einer X-Richtung erstrecken, und ein Winkel θ jedes der verschiedenen Implantationswinkel als ein spitzer Winkel definiert ist, der gebildet wird durch eine Normale einer Hauptfläche eines Substrats, die eine Z-Richtung ist, und eine Ionenstrahlrichtung in einer ZY-Ebene, die durch die Z-Richtung und eine Y-Richtung gebildet wird, wobei die Y-Richtung senkrecht zu der Z-Richtung und der X-Richtung ist.
  10. Verfahren nach Anspruch 8 oder 9, wobei Fluor-Ionen durch den Ionenimplantationsvorgang (100) in die Finnenstrukturen (20) implantiert werden.
  11. Verfahren nach einem der Ansprüche 8 bis 10, wobei die Deckschicht (67) amorphes Silizium umfasst.
  12. Verfahren nach einem der Ansprüche 8 bis 11, wobei die leitfähige Schicht (66) TiN umfasst.
  13. Verfahren nach einem der Ansprüche 8 bis 12, wobei nach dem Ausbilden der leitfähigen Schicht (66) und vor dem Ausbilden der Deckschicht (67) ein Tempervorgang durchgeführt wird.
  14. Verfahren nach einem der Ansprüche 8 bis 13, wobei die Deckschicht (67) nach dem Implantationsvorgang (100) entfernt wird.
  15. Verfahren zur Herstellung einer Halbleitervorrichtung, umfassend: Entfernen einer Dummy-Gatestruktur (40), wodurch eine erste Finnenstruktur (20) und eine zweite Finnenstruktur (20) freigelegt werden, die jeweils einen oberen Abschnitt und einen unteren Abschnitt aufweisen, wobei der untere Abschnitt in einer Trennisolierschicht eingebettet ist, die über einem Substrat (10) angeordnet ist, und der obere Abschnitt aus der Trennisolierschicht vorsteht, wobei sich die erste Finnenstruktur (20) in einer X-Richtung erstreckt; Ausbilden einer Gatedielektrikumsschicht (60) über dem oberen Abschnitt der Finnenstruktur (20) sowohl der ersten als auch der zweiten Finnenstruktur (20); Ausbilden einer leitfähigen Schicht (66) über der Gatedielektrikumsschicht (60) sowohl der ersten als auch der zweiten Finnenstruktur (20); Ausbilden einer Deckschicht (67) über der leitfähigen Schicht (66) sowohl der ersten als auch der zweiten Finnenstruktur (20); und Anwenden eines Ionenimplantationsvorgangs (100) sowohl auf die erste als auch die zweite Finnenstruktur (20) mit der Deckschicht (67), um Dotierstoffe in eine Kanalschicht der Halbleitervorrichtung einzuführen, wobei: der Ionenimplantationsvorgang (100) mehrere Male mit unterschiedlichen Implantationswinkeln durchgeführt wird, um Ionen in eine Seitenfläche sowohl der ersten als auch der zweiten Finnenstruktur (20) einzuführen.
  16. Verfahren nach Anspruch 15, wobei mindestens einer der Ionenimplantationsvorgänge (100) unter einem Winkel θ0 in Bezug auf eine Normale des Substrats durchgeführt wird, wobei θ o = tan 1 ( L-W/h )
    Figure DE102017126544B4_0001
    ist, L ein Mittenabstand der ersten Finnenstruktur (20) und der zweiten Finnenstruktur (20) ist, W eine Breite der ersten Finnenstruktur (20) und der zweiten Finnenstruktur (20) ist und h eine Höhe der ersten Finnenstruktur (20) und der zweiten Finnenstruktur (20) von einer oberen Fläche der Trennisolierschicht ist.
  17. Verfahren nach Anspruch 15 oder 16, wobei einer der unterschiedlichen Implantationswinkel ein Winkel θ ist und ein Ionenimplantationsvorgang (100) bei dem Winkel θ zweimal durchgeführt wird, indem das Substrat (10) um 180 Grad gedreht wird.
  18. Verfahren nach einem der Ansprüche 15 bis 17, wobei eine Differenz zwischen zwei der Implantationswinkel 1,5 Grad bis 3,0 Grad beträgt.
  19. Verfahren nach einem der Ansprüche 15 bis 18, wobei Fluor-Ionen durch den Ionenimplantationsvorgang (100) in die Finnenstrukturen (20) implantiert werden.
DE102017126544.9A 2017-06-30 2017-11-13 Verfahren zur herstellung von halbleitervorrichtungen Active DE102017126544B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762527783P 2017-06-30 2017-06-30
US62/527,783 2017-06-30
US15/801,128 US10714598B2 (en) 2017-06-30 2017-11-01 Method of manufacturing semiconductor device
US15/801,128 2017-11-01

Publications (2)

Publication Number Publication Date
DE102017126544A1 DE102017126544A1 (de) 2019-01-03
DE102017126544B4 true DE102017126544B4 (de) 2023-09-07

Family

ID=64662195

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017126544.9A Active DE102017126544B4 (de) 2017-06-30 2017-11-13 Verfahren zur herstellung von halbleitervorrichtungen

Country Status (1)

Country Link
DE (1) DE102017126544B4 (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111599684B (zh) * 2020-05-25 2023-08-15 上海华力集成电路制造有限公司 鳍体制造方法、鳍式场效应晶体管及一鳍体结构

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006133310A2 (en) 2005-06-07 2006-12-14 Varian Semiconductor Equipment Associates, Inc. Technique for ion beam angle process control
US20090267161A1 (en) 2008-04-29 2009-10-29 Ravi Pillarisetty Increasing body dopant uniformity in multi-gate transistor devices
US20110156145A1 (en) 2004-09-29 2011-06-30 Marko Radosavljevic Fabrication of channel wraparound gate structure for field-effect transistor
US20150187915A1 (en) 2013-12-26 2015-07-02 Samsung Electronics Co., Ltd. Method for fabricating fin type transistor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110156145A1 (en) 2004-09-29 2011-06-30 Marko Radosavljevic Fabrication of channel wraparound gate structure for field-effect transistor
WO2006133310A2 (en) 2005-06-07 2006-12-14 Varian Semiconductor Equipment Associates, Inc. Technique for ion beam angle process control
US20090267161A1 (en) 2008-04-29 2009-10-29 Ravi Pillarisetty Increasing body dopant uniformity in multi-gate transistor devices
US20150187915A1 (en) 2013-12-26 2015-07-02 Samsung Electronics Co., Ltd. Method for fabricating fin type transistor

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
CHEN, Y. W. [u.a.]: Further work function and interface quality improvement on Al2O3 capped high-k/metal gate p-type metal-oxide-semiconductor field-effect-transistors by incorporation of fluorine. In: Thin Solid Films, Vol. 520, 2012, No. 13, S. 4482 – 4485. - ISSN 0040-6090
Chen, Y. W. et al. „Further work function and interface quality improvement on Al2O3 capped high-k/metal gate p-type metal-oxide-semiconductor field-effect-transistors by incorporation of fluorine", Thin Solid Films, Vol. 520, 2012, S. 4482 - 4485

Also Published As

Publication number Publication date
DE102017126544A1 (de) 2019-01-03

Similar Documents

Publication Publication Date Title
DE102017119616B4 (de) Abstandshalter für Nanodraht-basierte integrierte Schaltungsvorrichtung und Herstellungsverfahren
DE102016115984B4 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
DE102017114973B4 (de) Halbleitervorrichtung und Verfahren zum Bilden einer Halbleitervorrichtung
DE102017110846B4 (de) Halbleiterstruktur und Verfahren zu ihrer Herstellung
DE102015112913B4 (de) Halbleiterbauelement und Herstellungsverfahren hierfür
DE102019132233B4 (de) Verfahren zur herstellung von halbleiter-bauelementen
DE102015108690B4 (de) Halbleitervorrichtung, die gratstrukturen umfasst, und herstellungsverfahren
DE102017118199A1 (de) Finfet-vorrichtung und ausbildungsverfahren
DE102016115983A1 (de) Halbleiterstruktur und Herstellungsverfahren
DE102017117971A1 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
DE102017112753A1 (de) Halbleitervorrichtung und Verfahren
DE102019125773B4 (de) Verfahren zur herstellung von halbleitervorrichtungen und halbleitervorrichtungen
DE102019127997B4 (de) Verfahren zum herstellen von halbleitervorrichtungen mit gate-trenn-plugs und halbleitervorrichtungen
DE102020115422A1 (de) Verfahren zur Herstellung von Halbleitervorrichtungen und Halbleitervorrichtungen
DE102019109857A1 (de) Halbleiter-bauelement und herstellungsverfahren
DE102021110710A1 (de) Nanoschicht-feldeffekttransistorvorrichtung und herstellungsverfahren
DE102017124779A1 (de) Halbleitervorrichtung und ihr Herstellungsverfahren
DE102018118635A1 (de) Verfahren zur Herstellung von Halbleitervorrichtungen und eine Halbleitervorrichtung
DE102019128758A1 (de) Verfahren zur herstellung von halbleitervorrichtungen undhalbleitervorrichtungen
DE102017126544B4 (de) Verfahren zur herstellung von halbleitervorrichtungen
DE102022132143A1 (de) Verfahren zum herstellen von halbleitervorrichtungen und halbleitervorrichtungen
DE102021113003B4 (de) Nano-fet-halbleiterbauelement und verfahren zur bildung
DE102019129768B4 (de) Verfahren zur herstellung von halbleitervorrichtungen und halbleitervorrichtung
DE102019110533B4 (de) Halbleitervorrichtung und herstellungsverfahren
DE102018106581B4 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division