DE102017207777A1 - Luftspalt über Transistorgate und zugehöriges Verfahren - Google Patents

Luftspalt über Transistorgate und zugehöriges Verfahren Download PDF

Info

Publication number
DE102017207777A1
DE102017207777A1 DE102017207777.8A DE102017207777A DE102017207777A1 DE 102017207777 A1 DE102017207777 A1 DE 102017207777A1 DE 102017207777 A DE102017207777 A DE 102017207777A DE 102017207777 A1 DE102017207777 A1 DE 102017207777A1
Authority
DE
Germany
Prior art keywords
layer
air gap
semiconductor device
transistor gate
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102017207777.8A
Other languages
English (en)
Other versions
DE102017207777B4 (de
Inventor
He Zhong-Xiang
Mark Jaffe
Randy L. Wolf
Alvin J. Joseph
Brett T. Cucci
Anthony K. Stamper
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102017207777A1 publication Critical patent/DE102017207777A1/de
Application granted granted Critical
Publication of DE102017207777B4 publication Critical patent/DE102017207777B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/4821Bridge structure with air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Geometry (AREA)

Abstract

Eine Halbleitervorrichtung kann ein Transistorgate in einer Vorrichtungsschicht; eine Zwischenverbindungsschicht über der Vorrichtungsschicht; und einen Luftspalt umfassen, der sich durch die Zwischenverbindungsschicht erstreckt, um mit einer oberseitigen Oberfläche des Transistorgates in Kontakt zu treten. Der Luftspalt stellt einen Mechanismus zur Verringerung des Ein-Widerstands und der Aus-Kapazität für Anwendungen unter Verwendung von SOI-Substraten bereit, wie z. B. Radiofrequenzschalter.

Description

  • HINTERGRUND
  • Technisches Gebiet
  • Die vorliegende Erfindung betrifft Halbleitervorrichtungen und insbesondere einen Luftspalt über einem Transistorgate und ein Verfahren zum Bilden davon. Der Luftspalt reduziert die Kapazität im Aus-Zustand (Caus) in Anwendungen, wie z. B. Radiofrequenzschalter in Semiconductor-on-Insulator(SOI)-Substraten.
  • Stand der Technik
  • In Telekommunikationsgeräten, wie z. B. Smartphones, werden im Allgemeinen Radiofrequenz(RF)-Schalter verwendet, um Hochfrequenztelekommunikationssignale durch Übertragungspfade zu leiten. Beispielsweise werden RF-Schalter gemeinhin in Smartphones eingesetzt, so dass unterschiedliche drahtlose Digitaltechnologiestandards, die an verschiedenen geographischen Orten verwendet werden, eingesetzt werden können. Gegenwärtige RF-Schalter werden im Allgemeinen unter Verwendung von Semiconductor-on-Insulator(SOI)-Substraten hergestellt. SOI-Substrate verwenden typischerweise ein geschichtetes Silizium-Isolator-Silizium-Substrat anstelle eines herkömmlichen Siliziumsubstrats (Vollsubstrat). SOI-basierte Vorrichtungen unterscheiden sich von aus Silizium gebauten herkömmlichen Vorrichtungen darin, dass die Siliziumverbindung über einem elektrischen Isolator angeordnet ist, typischerweise Siliziumdioxid oder (weniger typisch) Saphir.
  • Eine Herausforderung bei RF-Schaltern, die in SOI-Substraten gebildet werden, besteht darin, zwei konkurrierende Parameter zu steuern: den Ein-Widerstand (Rein), der den Widerstand des Schalters beim Einschalten darstellt, und die Kapazität im Aus-Zustand (Caus), die das Übersprechen oder Rauschen anzeigt, das innerhalb des Systems auftreten kann, insbesondere erzeugt die Menge an übertragenen Signalen in einer Schaltung einen unerwünschten Effekt in einer anderen Schaltung. Wenn der RF-Schalter den Energieverbrauch verringern soll, soll Rein so niedrig wie möglich sein, und Caus soll minimal werden, um unerwünschtes Kopplungsrauschen zu verringern. In herkömmlichen Halbleiterherstellungsprozessen führt eine Verringerung von Rein oder Caus zu gegenläufigen Effekten.
  • ZUSAMMENFASSUNG
  • Ein erster Aspekt der Erfindung ist auf ein Verfahren zum Bilden eines Luftspalts für eine Halbleitervorrichtung gerichtet, wobei das Verfahren umfasst: ein Bilden einer Luftspaltmaske, die einen Bereich einer Zwischenverbindungsschicht über einer Vorrichtungsschicht freilegt, wobei die Vorrichtungsschicht darin ein Transistorgate umfasst; ein Ätzen einer Öffnung durch die Zwischenverbindungsschicht unter Verwendung der Luftspaltmaske über dem Transistorgate, wobei die Öffnung, die Seitenwände eines Dielektrikums der Zwischenverbindungsschicht freilegt; ein Entfernen der Luftspaltmaske; ein Ausnehmen der freiliegenden Seitenwände des Dielektrikums der Zwischenverbindungsschicht in der Öffnung; und ein Bilden eines Luftspalts über dem Transistorgate durch Abscheiden einer Luftspaltdeckschicht zum Verschließen der Öffnung an einer Oberfläche der Zwischenverbindungsschicht.
  • Ein zweiter Aspekt der Erfindung umfasst eine Halbleitervorrichtung, umfassend: ein Transistorgate in einer Vorrichtungsschicht; eine Zwischenverbindungsschicht über der Vorrichtungsschicht; und einen Luftspalt, der sich durch die Zwischenverbindungsschicht über das Transistorgate erstreckt.
  • Ein dritter Aspekt der Erfindung bezieht sich auf einen Radiofrequenz-Semiconductor-on-Insulator(RFSOI)-Schalter, umfassend: ein Transistorgate in einer Semiconductor-on-Insulator(SOI)-Schicht eines SOI-Substrats; eine Zwischenverbindungsschicht über der SOI-Schicht, wobei die Zwischenverbindungschicht eine lokale Zwischenverbindungsschicht über der SOI-Schicht und eine erste Metallschicht über der lokalen Zwischenverbindungsschicht umfasst; und einen Luftspalt, der sich durch ein Dielektrikum der Zwischenverbindungsschicht über dem Transistorgate erstreckt.
  • Das Vorangegangene und andere Merkmale der Erfindung gehen aus der folgenden spezielleren Beschreibung von Ausführungsformen der Erfindung hervor.
  • KURZE BESCHREIBUNG DER FIGUREN
  • Die Ausführungsformen dieser Erfindung werden mit Bezug auf die folgenden Figuren detailliert beschrieben, wobei ähnliche Bezugszeichen ähnliche Elemente bezeichnen, und wobei:
  • 1 eine Querschnittsansicht einer Ausführungsform eines Verfahrens gemäß der Erfindung zeigt.
  • 2 eine vergrößerte Ansicht eines anschaulichen Transistorgates zeigt.
  • 3A–E Querschnittansichten eines Ätzens einer Öffnung gemäß Ausführungsformen eines Verfahrens der Erfindung zeigen.
  • 4 eine Querschnittansicht eines Entfernens einer Luftspaltmaske gemäß Ausführungsformen der Erfindung zeigt.
  • 57 ebene Ansichten von Ausführungsformen einer Struktur teilweise mittels eines Verfahrens gemäß der Erfindung zeigen.
  • 8A–C Querschnittansichten eines Ausnehmens einer Öffnung gemäß Ausführungsformen der Erfindung zeigen.
  • 9 eine vergrößerte Schnittansicht eines Details aus der Ausführungsform gemäß 8B zeigt.
  • 10 eine Querschnittansicht eines Verfahrens und einer Halbleitervorrichtung, wie z. B. eines Radiofrequenz SOI-Schalters mit einem Luftspalt über einem Transistorgate davon, gemäß Ausführungsformen der Erfindung zeigt.
  • 11 und 12 Querschnittansichten von alternativen Verfahren und alternativen Halbleitervorrichtungen mit einem Luftspalt über einem Transistorgate davon gemäß Ausführungsformen der Erfindung zeigen.
  • Die Figuren der Erfindung sind nicht maßstabsgetreu. Die Figuren sollen lediglich typische Aspekte der Erfindung darstellen und sollen folglich die Erfindung nicht beschränken. In den Figuren werden Elemente, die über die Figuren hinweg ähnlich sind, mit einer ähnlichen Nummerierung bezeichnet.
  • DETAILLIERTE BESCHREIBUNG
  • Die vorliegende Erfindung betrifft Verfahren zum Bilden von Halbleitervorrichtungen mit einem Luftspalt über einem Transistorgate, um die Kapazität zwischen dem Transistorgate und benachbarten Drähten, Kontakten und Durchkontaktierungen zu verringern, die dazu verwendet werden, Source und Drain des Transistors zu kontaktieren. Diese Verringerung in der Kapazität kann die Kapazität im Aus-Zustand des Transistors verringern, wenn sie in entsprechenden Anwendungen eingesetzt wird, wie z. B. Radiofrequenz(RF)-Schalter in Semiconductor-on-Insulator(SOI)-Substraten oder Voll-(nicht-SOI-)Substraten. Die Verwendung eines Luftspalts über einem Transistorgate gemäß der verschiedenen Ausführungsformen der vorliegenden Erfindung stellt einen Mechanismus zur Reduzierung einer Aus-Kapazität jeder Vorrichtung bereit, die ihn durch Steuern von einem hauptsächlichen Beitrag zu der intrinsischen Kapazität des Feldeffekttransistors (FET) verwendet wird: die effektive Dielektrizitätskonstante des Kontakts oder der lokalen Zwischenverbindungsschicht und der ersten Metallschicht. Während die Lehre der Erfindung mit Bezug auf ein SOI-Substrat und relativ zu einem RF-Schalter beschrieben wird, können die Ausführungsformen auf verschiedene alternative Halbleitervorrichtungen angewendet werden, wie z. B., jedoch nicht beschränkend, auf Verstärker mit niedrigem Rauschen (LNA) und Leistungsverstärker. Weiterhin kann die Lehre auf verschiedene Substrate angewendet werden, wie z. B. ein Vollsubstrat.
  • Mit Bezug auf 1 ist eine Querschnittansicht eines ersten Prozesses eines Verfahrens zum Bilden eines Luftspalts für eine Halbleitervorrichtung gemäß Ausführungsformen der Erfindung dargestellt. 1 zeigt eine Halbleitervorrichtung 100 nach Bildung einer Vorrichtungsschicht 102 und einer Zwischenverbindungsschicht 104. Die Vorrichtungsschicht 102 ist als ein Semiconductor-on-Insulator(SOI)-Substrat 106 aufweisend dargestellt, das darüber ein Halbleitersubstrat 108 mit einer Isolatorschicht 110 und darüber eine Semiconductor-on-Insulator(SOI)-Schicht 112 aufweist. Das Substrat 108 und die SOI-Schicht 112 können, ohne Beschränkung, Silizium, Germanium, Siliziumgermanium, Siliziumkarbid und jene umfassen, die im Wesentlichen aus einer oder mehreren III-V-Halbleitern gebildet sind, die eine Zusammensetzung gemäß der Formel AlX1GaX2InX3AsY1PY2NY3SbY4 umfassen, wobei X1, X2, X3, Y1, Y2, Y3, und Y4 relative Proportionalitäten darstellen, die jeweils größer oder gleich Null sind und X1 + X2 + X3 + Y1 + Y2 + Y3 + Y4 = 1 gilt (wobei 1 die gesamte relative Mol-Größe darstellt). Andere geeignete Materialien umfassen II-VI-Halbleiter mit einer Zusammensetzung aus ZnA1CdA2SeB1TeB2, wobei A1, A2, B1, und B2 relative Proportionalitäten darstellen, die jeweils größer oder gleich Null sind und für die A1 + A2 + B1 + B2 = 1 gilt (wobei 1 eine gesamte Mol-Größe darstellt). Ferner kann ein Bereich oder das gesamte Halbleitersubstrat 108 und/oder die SOI-Schicht 112 verspannt sein. Zum Beispiel kann die SOI-Schicht 112 verspannt sein. Die SOI-Schicht 112 kann durch Flachgrabenisolationen (STI) 114 unterteilt sein. Die Isolatorschicht 110 kann ein beliebiges Dielektrizitätsmaterial umfassen, das für die gewünschte Anwendung geeignet ist, z. B. Siliziumoxid (SIOx) oder (weniger häufig) Saphir. Die Isolatorschicht 110 und/oder das STI 114 kann auch das gleiche Material umfassen, wie z. B. Siliziumdioxid oder ein anderes hierin beschriebenes Zwischenschichtdielektrikumsmaterial.
  • Die Vorrichtungsschicht 102 kann auch eine Vielzahl von darin gebildeten Transistoren 116 umfassen. Jeder Transistor 116 kann eine bekannte oder später entwickelte Transistorstruktur umfassen, wie z. B. Source/Drain-Bereiche (nicht mit Bezugszeichen versehen) in der SOI-Schicht 112 mit einem Transistorgate 118 darüber und dazwischen. 2 zeigt eine vergrößerte Querschnittansicht eines anschaulichen Transistorgates 118. Jedes Transistorgate 118 kann unter anderem einen Körper 120 aus Polysilizium oder einen Metallgate-Leiter (gemeinhin als ”PC” bezeichnet), Abstandshalter 122 am Körper 120, ein Gatedielektrikum 124 unter dem Körper 120, eine Silizidschicht 125 über dem Körper 120 (z. B. eine Silizium-Metall-Verbindung) und eine Ätzstoppschicht 126 über der Silizidschicht 125 und/oder den Abstandshaltern 122 umfassen. Die Abstandshalter 122 können jedes bis dato bekannte oder später entwickelte Halbleitermaterial umfassen, wie z. B. Siliziumnitrid (Si3N4), und das Gatedielektrikum 124 kann ein beliebiges bekanntes oder später entwickeltes Gatedielektrikumsmaterial umfassen, wie z. B. Hafniumsilikat (HfSiO), Hafniumoxid (HfO2), Zirkoniumsilikat (ZrSiOx), Zirkonoxid (ZrO2), Siliziumoxid (SiO2), Siliziumnitrid (Si3N4), Siliziumoxynitrid (SiON), ein high-k-Material oder eine Kombination aus diesen Materialien. Die Ätzstoppschicht 126 kann ein beliebiges bis dato bekanntes oder später entwickeltes Ätzstoppmaterial umfassen, wie z. B. Siliziumnitrid. Die Silizidschicht 125 kann ein beliebiges bis dato bekanntes oder später entwickeltes Silizidmaterial umfassen, wie z. B. Titan, Nickel, Kobalt usw. Jedes Transistorgate 118 kann in die dargestellte Seite hinein, aus der dargestellten Seite heraus oder quer zu der dargestellten Seite verlaufen.
  • Mit Bezug auf 1 kann eine Zwischenverbindungsschicht 104 gemäß der Beschreibung hier eine Mehrzahl von Schichten mit einer Kontakt- oder lokalen Zwischenverbindungsschicht 130 (gemeinhin bezeichnet als eine Kontaktflächen(CA)-Schicht) und eine erste Metallisierungsschicht 132 umfassen. Jede Schicht 130, 132 kann eine entsprechende Zwischenschichtdielektrikums(ILD)-Schicht 134, 136 umfassen. Die ILD-Schichten 134, 136 können ohne Beschränkung umfassen: Siliziumnitrid (Si3N4), Siliziumoxid (SiO2), mit Fluor versetztes SiO2 (FSG), hydrogenisiertes Siliziumoxykarbid (SiCOH), poröses SiCOH, Borphosphorsilikatglas (BPSG), Silsesquioxane, mit Kohlenstoff (C) dotierte Oxide (organische Silikate), die Silizium- (Si), Kohlenstoff- (C), Sauerstoff- (O), und/oder Wasserstoff-(H)Atome umfassen, SiLK (Polyarylenether, beziehbar über Dow Chemical Corporation), ein aufgeschleudertes und Siliziumkohlenstoff aufweisendes Polymermaterial, beziehbar über JSR Corporation, oder ein Material mit niedriger Dielektrizitätskonstante (< 3,9) oder Schichten davon. Jede Schicht 130, 132 kann auch eine entsprechende Deckschicht 138, 140 an einer oberen Oberfläche davon umfassen. Jede Deckschicht 138, 140 kann wenigstens eine Schicht umfassen, z. B. eine Siliziumoxidschicht 142 und eine Ätzstoppschicht 144, die aus Siliziumnitrid (Nitrid), Siliziumkohlenstoffnitrid (SiCN) usw. gebildet ist, wie aus dem Stand der Technik bekannt ist. Es können verschiedene andere Arten von Deckschichten verwendet werden. Ferner sei betont, dass, während die Deckschichten 138, 140 darstellungsgemäß identisch sind, sie unterschiedliche Materialien, Dicken usw. aufweisen können.
  • Durch die ILD-Schicht 134 oder die Kontaktschicht oder lokale Zwischenverbindungsschicht 130 (nachfolgend als ”lokale Zwischenverbindungsschicht 130” bezeichnet) kann sich eine Mehrzahl von Kontakte 150 zu verschiedenen Teilen der Vorrichtungsschicht 102 erstrecken. In dem dargestellten Beispiel erstrecken sich die Kontakte 150 zu Source/Drain-Bereichen des Transistors 116. Jeder Kontakt 150 kann innerhalb einer dünnen Aufschmelzmetallschicht aus Ruthenium einen Leiter umfassen, wie z. B. Aluminium oder Kupfer; es können jedoch auch andere Aufschmelzmetalle verwendet werden, wie z. B. Tantal (Ta), Titan (Ti), Wolfram (W), Iridium (Ir), Rhodium (Rh) und Platin (Pt) usw., oder Mischungen davon. Typischerweise erstrecken sich die Kontakte 150 innerhalb der Halbleitervorrichtung 100 zur Verbindung der Leiter in den Schichten meistens vertikal, insbesondere vertikal auf der Seite, wie dargestellt. Die erste Metallschicht 132 kann darin eine Mehrzahl von Metalldrähten 152 umfassen. Jeder Metalldraht 152 kann die gleichen Materialien verwenden, die für die Kontakte 150 aufgelistet sind. Im Gegensatz zu den Kontakten 150 erstrecken sich die Metalldrähte 152 meistens horizontal oder seitlich in einer Schicht innerhalb der Halbleitervorrichtung 100, um die Kontakte 150 darin zu verbinden, insbesondere hinein, heraus oder entlang einer Seite, wie dargestellt. In dieser Weise kann die erste Metallschicht 132 einen Metalldraht 152 umfassen, der sich seitlich parallel zum Transistorgate 118 in der Vorrichtungsschicht 102 erstreckt, insbesondere vertikal über, jedoch parallel zu, dem Transistorgate 118. Die Halbleitervorrichtung 100, wie in 1 dargestellt ist, kann unter Verwendung beliebiger bekannter oder später entwickelter Halbleiterherstellungstechniken gebildet werden, beispielsweise durch Materialabscheidung, fotolithographische Strukturierung und Ätzung, Dotierung usw. Obwohl in 1 Kontakte 150 und Drähte 152 als einzelne Damascene-Niveaus gezeigt sind, könnten sie unter Verwendung von Dual-Damascene-Niveaus gebildet werden, die eine dünne Aufschmelzmetallschicht aus Kupfer oder Wolfram umfassen, wie aus dem Stand der Technik bekannt ist.
  • ”Abscheiden” oder ”Abscheidung” kann, gemäß der Verwendung hierin, beliebige bekannte oder später entwickelte Techniken darstellen, die für das abzuscheidende Material geeignet sind, umfassend, jedoch nicht beschränkend auf, z. B.: chemische Gasphasenabscheidung (CVD), Niederdruck-CVD (LPCVD), Plasma unterstützte CVD (PECVD), semi-atmosphere CVD (SACVD) und Hochdichteplasma-CVD (HDPCVD), schnelle thermische CVD (RTCVD), Ultrahochvakuum-CVD (UHVCVD), limited reaction processing CVD (LRPCVD), metallorganische CVD (MOCVD), Sputterabscheidung, Ionenstrahlabscheidung, Elektronenstrahlabscheidung, Laser unterstützte Abscheidung, thermische Oxidation, thermische Nitridierung, Aufschleuderverfahren, physikalische Gasphasenabscheidung (PVD), Atomlagenabscheidung (ALD), chemische Oxidation, Molekularstrahlepitaxie (MBE), Galvanisierung, Verdampfung.
  • 1 zeigt auch ein Bilden einer Luftspaltmaske 160, die einen Bereich 162 der Zwischenverbindungsschicht 140 über der Vorrichtungsschicht 102 freilegt. Die Maske 160 kann z. B. nach einer Damascene-Einebnung der ersten Metallschicht 132, beispielsweise durch ein chemisch-mechanisches Polieren (CMP), gebildet werden und kann jedes bekannte oder später entwickelte Maskierungsmaterial umfassen. Die Maske 160 ist in einer herkömmlichen Weise zur Erzeugung von Öffnungen 164 darin strukturiert und geätzt. In einer Ausführungsform kann eine Breite des Transistorgates 120 ungefähr 200 nm betragen und Öffnungen 164 in der Luftspaltmaske 160 können eine Größe von ungefähr 0,16 Mikrometer (μm) bis 0,24 μm aufweisen, insbesondere 0,2 μm. Diese Breiten könnten mit größerer und kleinerer Kanaltransistorbreite skalieren oder mit größeren oder kleineren Breiten der Kontakte 150 und Drähte 152 skalieren.
  • Die 3A–E zeigen ein Ätzen einer Öffnung 166 durch die Zwischenverbindungsschicht 104 unter Verwendung der Luftspaltmaske 160 über dem Transistorgate 118. Die Öffnung 166 legt Seitenwände 170 eines Dielektrikums 134, 136 der Zwischenverbindungsschicht 104 frei. Das Ätzen bezieht sich im Allgemeinen auf das Entfernen von Material von einem Substrat (oder Strukturen, die auf dem Substrat gebildet sind) und wird häufig mit einer platzierten Maske durchgeführt, so dass Material von bestimmten Flächen des Substrat selektiv entfernt werden kann, während das Material in anderen Flächen des Substrats nicht beeinflusst wird. Es gibt für das Ätzen im Allgemeinen zwei Kategorien, (i) Nassätzen und (ii) Trockenätzen. Nassätzen wird mit einem Lösungsmittel durchgeführt (wie z. B. einer Säure oder einer Base), welches anhand seiner Fähigkeit zum selektiven Lösen eines gegebenen Materials (wie z. B. Oxid) ausgewählt wird, während es ein anderes Material (wie z. B. Polysilizium oder Nitrid) relativ intakt lässt. Diese Fähigkeit zum selektiven Ätzen von gegebenen Materialien ist für viele Halbleiterherstellungsprozesse grundlegend. Im Allgemeinen wird ein homogenes Material (z. B. ein Oxid) durch ein Nassätzen isotrop geätzt, jedoch kann ein Kristallmaterial (z. B. Siliziumwafer) durch ein Nassätzen auch anisotrop geätzt werden. Trockenätzen kann unter Verwendung eines Plasmas durchgeführt werden. Plasmasysteme können durch Einstellen der Parameter des Plasmas in verschiedenen Moden arbeiten. Das gewöhnliche Plasmaätzen erzeugt energiegeladene freie Radikale, die neutral geladen sind, welche mit der Oberfläche des Wafers reagieren. Da neutrale Teilchen den Wafer unter allen Winkeln angreifen, ist dieser Prozess isotrop. Beim Ionenätzen oder Sputterätzen wird der Wafer mit Energie geladenen Edelgasionen bombardiert, die den Wafer aus ungefähr einer Richtung erreichen, und folglich ist dieser Prozess höchst anisotrop. Das reaktive Ionenätzen (RIE) wird unter Bedingungen betrieben, die zwischen Sputter- und Plasmaätzen liegen, und kann zur Erzeugung von tiefen engen Merkmalen verwendet werden, wie z. B. STI-Gräben. In den 3A–E kann das Ätzen (nur in 3A mit Pfeilen bezeichnet) RIE umfassen. Mit Bezug auf die Öffnung 116 und/oder jeden darin gebildeten Luftspalt bedeutet ”über dem Transistorgate” Transistorgate 118, dass das Transistorgate 118 beliebig überlagert wird.
  • Gemäß den Darstellungen der 3A–E kann sich die Öffnung 166 über dem Transistorgate 118 zu einer Vielzahl von unterschiedlichen Tiefen erstrecken. Mit Bezug auf die Tiefe der Öffnung 166 kann das Ätzen der Öffnung 166 ausklingen, wenn: die Öffnung 166 die Ätzstoppschicht 126 erreicht oder sich dahin erstreckt (3A); die Ätzstoppschicht 126 ausgenommen wird (3B); die Ätzstoppschicht 126 entfernt wird (das Ätzen sich jenseits davon erstreckt), wobei die Silizidschicht 125 freigelegt wird (3C); der Körper 120 freigelegt wird (3D), wie z. B. wenn die Silizidschicht 125 nicht vorhanden ist oder vollständig entfernt wurde; oder die Ätzstoppschicht 126 nicht freigelegt wird, sich jedoch nicht durch die Dielektrikumsschicht 134 über dem Gate 118 erstreckt (3E). Demgemäß kann das Ätzen der 3A–E gesteuert werden, um den Grad auszuwählen, bis zu dem eine oberseitige Fläche 168 des Transistorgates 118 freigelegt wird.
  • 4 zeigt die Halbleitervorrichtung nach einem Entfernen der Luftspaltmaske 160 (in der Ausführungsform von 3B lediglich zur Klarheit). Die Luftspaltmaske 160 (3A–E) kann unter Verwendung jeder bekannten oder später entwickelten Lackablösung entfernt werden, in-situ oder ex-situ.
  • Die 57 zeigen eine ebene Ansicht oder Aufsicht von Ausführungsformen der Struktur nach der Verarbeitung gemäß 4, insbesondere teilweise durch die Verfahren gemäß der Erfindung. Die 57 stellen beispielhafte Layouts der Öffnungen 166 und folglich der dadurch zu bildenden Luftspalte 188 (10) dar, wie hierin beschrieben wird. Die Querschnitte der 57 verlaufen durch das Dielektrikum 134 in 4. Die 57 zeigen die SOI-Schicht 112 (aktives Gebiet) mit Gates 118, die darüber mit Kontakten 150 gebildet sind, die sich von dem Gate 118 und der SOI-Schicht 112 aus erstrecken. Es sind Metalldrähte 152 dargestellt, die mit bestimmten Kontakten 150 über der SOI-Schicht 112 verbunden sind. Die Metalldrähte 152 verlaufen darstellungsgemäß parallel zu bestimmten Gates, die mit 118A bezeichnet sind. Gemäß der Darstellung können die Öffnungen 166 eine Vielzahl von Formen annehmen. In 5 sind die Öffnungen 166 über dem Transistorgate 118 als seitlich verlängerte Öffnungen geätzt. Das heißt, die Öffnungen 166 weisen entgegen einfachen vertikalen Öffnungen eine Länge auf, beispielsweise etwas kürzer als ein Transistorgate 118, zu dem sie parallel sind. In einer Ausführungsform kann ein Bereich der Öffnung 166 in einer seitlich angeordneten T-Gestalt 174 geätzt werden, insbesondere in einer horizontal in der Ebene der Seite ausgelegten T-Gestalt, obgleich dies nicht erforderlich ist. Auf jeden Fall legen die Öffnungen 166 die Kontakte 150 oder Metalldrähte 152 nicht frei, insbesondere verbleibt ein Teil des Dielektrikums 134, 136 (4) zwischen den Kontakten 150 und den Drähten 152 und den Öffnungen 166. In 6 können die Öffnungen 166 durch die Zwischenverbindungsschicht 104 derart ausgelegt sein, dass sie an den Kontakten 150 (oder im Anschluss an die Bildung von Durchkontaktierungen 194 (1012)) enger sind, um die Wahrscheinlichkeit eines einen Luftspalt 188 kreuzenden Kontakts 150 zu verringern (10). Das heißt, die Öffnung 166 kann an einem Kontakt 150 (oder einer Durchkontaktierung 194 (1012)) seitlich enger (Breite W2) sein und zwischen den Kontakten 150 (oder Durchkontaktierung 194 (1012)) seitlich breiter (Breite W1) sein, um die Wahrscheinlichkeit dafür zu verringern, dass der Kontakt 150 (oder die Durchkontaktierung 194) durch den Luftspalt 188 freigelegt wird, was ein Füllen des Luftspalts 188 mit einem Leiter zulassen würde. Demzufolge kann der Luftspalt 188 (1012) das gleiche Layout aufweisen, insbesondere wie in 6 dargestellt ist, wobei eine erste Breite W1 seitlich an einem Kontakt 150 (oder einer Durchkontaktierungen 194) vorhanden ist und eine zweite Breite W2, die breiter ist als die erst Breite W1, seitlich zwischen den Kontakten 150 (oder Durchkontaktierungen 194) vorhanden ist. Die variable Breite kann in der lokalen Zwischenverbindungsschicht 130 und/oder der ersten Metallschicht 134 und/oder nachfolgenden Schichten 190 auftreten (1012). Insbesondere würde der Luftspalt 188 eine ähnliche Breitenvariation aufweisen, unabhängig davon, ob durch die lokale Zwischenverbindungsschicht 130, die erste Metallschicht 132 oder eine anschließende Luftspaltdeckschicht 190 gesehen (1012). In 7 können Öffnungen 166 als viele, nicht notwendigerweise längliche, nicht verbundene Öffnungen geätzt werden. In 7 sind hier einige Öffnungen 166 derart entworfen, dass sie nicht in der Nähe des Kontakts 150 (oder anschließend gebildeten Durchkontaktierungen 194 (1012)) sind, um die Wahrscheinlichkeit dafür zu verringern, dass der Kontakt 150 oder die Durchkontaktierungen 194 einen Luftspalt 188 kreuzen (8A–C), was erlauben würde, dass der Luftspalt 188 mit einem Leiter gefüllt wird. Durch Auswählen aus den verschiedenen Längen der Öffnung 166, die in den 57 dargestellt ist, kann man schließlich Luftspalte 188 (10) bilden, die den Ein-Widerstand und die Aus-Kapazität einer Halbleitervorrichtung 200 (10) durch Verringern einer effektiven Dielektrizitätskonstante für die Zwischenverbindungsschicht 104 optimal reduzieren und Kurzschlüsse durch Öffnungen 166 vermeiden, die einen Kontakt 150, eine Durchkontaktierungen 194 (1012) oder einen Draht 152 freilegen. Die Luftspaltöffnungen 166 können auch mit unterschiedlichen Breiten gebildet werden, wie in 6 dargestellt ist. Die Breite der Luftspaltöffnung 166 kann z. B. nahe den Kontakten 150 oder Durchkontaktierungen 194 in der Breite verringert sein, um die Wahrscheinlichkeit dafür zu verringern, dass der Luftspalt 188 die Kontakt- oder Durchkontaktierungen aufgrund einer Fehlausrichtung kreuzt.
  • Die 8A–C zeigen ein optimales Ausnehmen von freiliegenden Seitenwänden 170 des Dielektrikums 134, 136 der Zwischenverbindungsschicht 104 in der Öffnung 166. Unter anderen Vorteilen dient das Ausnehmen der Seitenwände 170 zur Vergrößerung der Öffnung 166 und demzufolge der Luftspalte 188 (10), wobei die effektive Dielektrizitätskonstante der Zwischenverbindungsschicht 104 verringert wird, während die in dem nächsten Prozessschritt zu verschließende obere Öffnung des Luftspalts enger ist als der Luftspalt selbst. Falls Siliziumoxidfilme für lokale Zwischenverbindungs- und erste Metallschichten 130, 132 verwendet werden und Siliziumnitrid für eine Deckschicht (oder Deckschichten) 138, 140 verwendet wird, dann könnte für diese Ausnehmung (der Kürze halber nur in 3A mit Pfeile bezeichnet) ein Nassätzen mit Flusssäure (HF) eingesetzt werden. HF-Konzentrationen könnten im Bereich von einer 10:1 bis 500:1-Verdünnung mit Wasser liegen, wie im Stand der Technik bekannt ist. Da die Dielektrika der Schichten 130 und 132 schneller geätzt werden, als das Dielektrikum der Deckschicht (Deckschichten) 138, 140 (1), zeigt 9 dass Öffnungsbreiten BB und CC breiter sind als eine obere Öffnung AA des Luftspalts. Die Ausnehmung kann z. B. ein Nassätzen umfassen, wie hierin anderweitig beschrieben ist. In einer Ausführungsform, kann ein Ausnehmen freiliegender Seitenwände 170 des Dielektrikums 134, 136 der Zwischenverbindungsschicht 104 in der Öffnung 166 eine Kante 180, 182 der lokalen Zwischenverbindungsdeckschicht 130 und/oder der ersten Metalldeckschicht 132 in der Öffnung 166 gemäß der Darstellung in den 8A–C freiliegen. Die Kanten 182 unterstützen das Verschließen der Öffnung 166 zur Bildung eines Luftspalts, beispielsweise durch Vereinfachen des Abschnürens der Öffnung 166.
  • Gemäß der Darstellung in den 8A–C kann das Ausnehmen in dieser Phase auch zum weiteren Vertiefen der Öffnung 166 eingesetzt werden. Wird z. B. angenommen, dass das Ausnehmen nach Entfernung der Luftspaltmaske 160 in 4 auftritt, kann jedoch bei der Ausführungsform der 3E, in der die Dielektrikumsschicht 134 über den Transistorgate 118 verbleibt, ein Ausnehmen gemäß der Darstellung in den 8A–C die Öffnung 166 ferner zu jeder der Tiefen weiter vertiefen, die in den 3A–E dargestellt sind. Zum Beispiel kann dort, wo sich die Öffnung 166 nicht durch die Dielektrikumsschicht 134 erstreckt hat, um die Ätzstoppschicht 126 zu erreichen oder damit in Kontakt zu treten, ein Ausnehmen die Öffnung 166 dadurch verlängern (8A, linke Seite). In ähnlicher Weise könnte ein Ausnehmen die Öffnung 166 verlängern, so dass die Ätzstoppschicht 126 (8A, rechte Seite) ausgenommen oder das Silizid 125 (8B, linke Seite) oder der Körper 120 (8B, rechte Seite) freigelegt wird. Ferner könnte ein Ausnehmen die Öffnung 166 weiter in die Dielektrikumsschicht 134 verlängern, jedoch nicht das Gate 118 freilegen (8C). In dieser Weise kann ein Grad, bis zu dem das Transistorgate 118 einem aus der Öffnung 166 gebildeten Luftspalt 188 (10) ausgesetzt ist, zusätzlich zu der durch das Ätzen der 3A–E bereitgestellten Steuerung genau eingestellt werden.
  • 10 zeigt ein Bilden eines Luftspalts 188 über dem Transistorgate 118 durch Abscheiden einer Luftspaltdeckschicht 190 zum Verschließen der Öffnung 166 (9) an einer Oberfläche der Zwischenverbindungsschicht 104. Gemäß der Darstellung ist der Luftspalt 118 zu dem Transistorgate 118 vertikal ausgerichtet, obgleich eine perfekte Ausrichtung nicht unbedingt erforderlich ist. Die Luftspaltdeckschicht 190 kann ein beliebiges Dielektrikumsmaterial umfassen, das dazu in der Lage ist, die Öffnung 166 zu verschließen und das als ein ILD für eine darin zu bildende erste Durchkontaktierungsschicht (nicht dargestellt) dienen kann. In einer Ausführungsform kann die Luftspaltdeckschicht 190 ein Dielektrikum umfassen, das durch chemische Gasphasenabscheidung (CVD) abgeschieden wird. In einer anderen Ausführungsform kann die Luftspaltdeckschicht 190 ein Silanoxid umfassen, das durch eine Plasma unterstützte chemische Gasphasenabscheidung (PECVD) abgeschieden wird. Es kann PECVD-Silanoxid gewählt werden, da es eine schlechte Stufenabdeckung aufweist, was zu einem größeren Luftspaltvolumen führt. In anderen Ausführungsformen kann die Luftspaltdeckschicht 190 eine dünne Siliziumnitridschicht mit einem ILD-Oxid umfassen, wie z. B. TEOS basierend auf PECVD, PVD oder ein ähnliches Oxid (der Kürze halber sind einzelne Schichten nicht dargestellt). Kanten 182 der ersten Metalldeckschicht 140 (1) der ersten Metallschicht 132 dienen zum Abschnüren der Öffnung 166, um ein Verschließen des Luftspalts 188 zu unterstützen. Der Luftspalt 188 legt keinen Kontakt 150 oder keinen Metalldraht 152 frei, insbesondere bedeckt das Dielektrikum 134, 136 der Zwischenverbindungsschicht 140 am Luftspalt 188 jeden leitfähigen Draht 152 in der ersten Metallschicht 132 oder jeden leitfähigen Kontakt 150 in der lokalen Zwischenverbindungsschicht 130. Der Luftspalt 188 kann jedes der seitlichen Layouts der Öffnung 166 aufweisen, wie in den 57 dargestellt ist. Die erste Metallschicht 132 kann ferner einen Metalldraht 152 (10) umfassen, der sich in der Vorrichtungsschicht 102 seitlich parallel zu dem Transistorgate 118 (vgl. 57) erstreckt. Gemäß der Darstellung in 10 erstreckt sich der Luftspalt 188 über und unter dem Metalldraht 152 vertikal, insbesondere unter dem Dielektrikum 132 der ersten Metallschicht 32 und über dem Metalldraht 152 in der Deckschicht 190. Der Luftspalt 188 erstreckt sich über einer oberseitigen Oberfläche der ersten Metallschicht 132. Wie auch in 10 dargestellt ist, kann sich der Luftspalt 188 lediglich teilweise in die Luftspaltdeckschicht 190 erstrecken, so dass die Schicht 190 als eine erste Durchkontaktierungsschicht ILD dienen kann, die durch den Luftspalt 88 minimal beeinflusst wird. In der Luftspaltdeckschicht 190 können Durchkontaktierungen 194 zu einer anderen Metallschicht (nicht dargestellt) unter Verwendung einer herkömmlichen oder später entwickelten Technik gebildet werden. Wie lediglich in der rechten Seite von 10 dargestellt ist, kann eine dünne Schicht 192 der Luftspaltdeckschicht 190 das Transistorgate 118 in der Öffnung 116 selektiv bedecken, wobei folglich eine zusätzliche Steuerung über das Ausmaß bereitgestellt wird, bis zu dem das Transistorgerät 118 dem Luftspalt 188 ausgesetzt wird. Die Luftspaltdeckschicht 190 verschließt die Öffnung 166 unabhängig von dem seitlichen Layout, das es gemäß der 57 annimmt, beispielsweise länglich oder eine nicht längliche schmale Öffnung, mit einer T-Gestalt oder mit variierender Breite (6). Das seitliche Bilden der Öffnung 166 (bezüglich der 57 beschrieben) kann gesteuert werden, um zu vermeiden, dass sie durch anschließend gebildete Durchkontaktierungen 194 freigelegt wird. Dadurch wird verhindert, dass ein Leiter der Durchkontaktierungen 194 in den Luftspalt 188 eintritt.
  • In den 11 und 12 sind alternative Luftspalt-Ausführungsformen gezeigt. 11 zeigt einen Luftspalt 288, der eine geringere Ätztiefe aufweist (3A–E), um ein Berühren des Transistorgates 188 zu vermeiden. 12 zeigt einen Luftspalt 388, in dem die Ausnehmungsätzung, die in den 8A–C gezeigt ist, verringert oder eliminiert wurde. Diese Struktur weist einen kleineren Luftspalt 388 auf, als in 11 gezeigt ist, vermeidet jedoch, dass das Dielektrikum der lokalen Zwischenverbindungsschicht 130 und der ersten Metallschicht 132 dem Ätzmittel ausgesetzt wird.
  • Mit Bezug auf die 1012 ist auch eine Halbleitervorrichtung 200 gemäß Ausführungsformen der Erfindung dargestellt. In einer Ausführungsform kann die Halbleitervorrichtung 200 das Transistorgate 118 in der Vorrichtungsschicht 102 umfassen. Das Transistorgate 118 kann einen Körper 120, eine Silizidschicht 125 über dem Körper 120 und eine Ätzstoppschicht 126 über die Silizidschicht 125 umfassen. Der Transistor 166 kann jede bekannte Form oder später entwickelte Form im Gebiet der komplementären Metalloxidhalbleiter (CMOS) Feldeffekttransistoren (FET) annehmen. Die Halbleitervorrichtung 200 kann auch die Zwischenverbindungsschicht 104 über der Vorrichtungsschicht 102 umfassen. Die Zwischenverbindungsschicht 104 kann wenigstens eine Zwischenverbindungsschicht umfassen, z. B. die lokale Zwischenverbindungsschicht 130 und die erste Metallschicht 132. Die Halbleitervorrichtung 200 umfasst auch den Luftspalt 188, der sich durch die Zwischenverbindungsschicht 104 über dem Transistorgate 118 erstreckt. Gemäß der Beschreibung kann der Grad, bis zu dem das Transistorgate 118, insbesondere die oberseitige Oberfläche 168 davon, freigelegt wird und/oder welcher Teil des Gates 118 dem Luftspalt 118 ausgesetzt wird, durch die Ätz-, Ausnehmungs- und Abdeckungsprozesse gesteuert werden. Es ist verständlich, dass der Luftspalt 118 mit einer beliebigen Ausführungsform der bereitgestellten Öffnung 166 gebildet werden kann. Das heißt, der Luftspalt 118 kann die Ätzstoppschicht 126 (linke Seite in 10) erreichen oder sich dahin erstrecken; sich in die Ätzstoppschicht 126 erstrecken (vgl. 3B, 4 und 8A, rechte Seite), wobei die Silizidschicht 125 nicht freigelegt wird; die Ätzstoppschicht 126 (und vielleicht Teile der Abstandshalter 122) entfernen, die die Silizidschicht 125 freilegen (3C, linke Seite von 8B); einen Bereich des Körpers 120 (3D, rechte Seite von 8B) freilegen, falls die Silizidschicht 125 nicht vorhanden ist oder vollständig entfernt wurde; sich zu der dünnen Schicht 192 der Deckschicht 190 oder der Dielektrikumsschicht 134 über dem Transistorgate 118 erstrecken, falls eine dünne Schicht 192 der Deckschicht 190 in der Öffnung 166 (rechte Seite von 10) abgeschieden wurde oder sich die Öffnung 166 nicht durch die Dielektrikumsschicht 134 erstreckt (3E, 8C und 11). Demzufolge kann ein Luftspalt über dem Transistorgate 118 mit dem Dielektrikum in Kontakt treten, wie z. B. die Dielektrikumsschicht 134 oder die dünne Schicht 192 der Deckschicht 190, mit der Ätzstoppschicht 126 (entweder voll oder ausgenommen) in Kontakt treten, mit der Silizidschicht 125 oder dem Körper 120 des Transistorgates 118 in Kontakt treten. In jedem Fall bedeckt das Dielektrikum 124, 136 der Zwischenverbindungsschicht 104 an dem Luftspalt 188 jeden Leiter, beispielsweise jeden Leiterdraht 152 in der ersten Metallschicht 132 oder jeden leitfähigen Kontakt 150 in der lokalen Zwischenverbindungsschicht 130. Die Kauen 180 und/oder 182 von wenigstens einer lokalen Zwischenverbindungsdeckschicht 138 und ersten Metalldeckschicht 140 können sich in den Luftspalt 188 erstrecken.
  • Gemäß der Darstellung in 9 kann die erste Metalldeckschicht 140 im Luftspalt eine Breite AA aufweisen (dort, wo die Öffnung 166 in 9 angeordnet ist), die kleiner ist als eine Breite BB des Luftspalts (dort, wo die Öffnung 166 in 9 angeordnet ist) im Dielektrikum 136 der ersten Metallschicht 132 unter der ersten Metalldeckschicht 140. Die Kanten 182 der ersten Metalldeckschicht 140 sollen als solche das Dielektrikum 190 abschnüren, wodurch ermöglicht wird, dass eine geringere Menge des Dielektrikums 190 die Öffnung 166 verschließt.
  • Es kann wenigstens ein Bereich der Ätzstoppschicht 126 des Transistorgates 118 ausgenommen werden (4 und 8). In einer Ausführungsform kann der Luftspalt 188 ein Aspektverhältnis größer als ungefähr 3 zu 1 aufweisen, beispielsweise 4 zu 1. In einer Ausführungsform kann der Luftspalt 188 eine Breite von ungefähr 1–2 μm und eine Höhe von ungefähr 8–10 μm aufweisen. Gemäß der Darstellung in 5 kann der Luftspalt 188 eine seitlich verlängerte oder T-förmige Öffnung 166 aufweisen, die zur Bildung davon verwendet wird.
  • Die Halbleitervorrichtung 200 kann zur Bildung einer Vielzahl von Vorrichtungen verwendet werden, wie z. B. eines Radio-Frequenz-Semiconductor-on-Insulator-(RFSOI-)Schalters, eines Niederamplitudenverstärkers, eines Leistungsverstärkers usw. Die Verwendung des Luftspalts 188, 288 oder 388 über dem Transistorgate 118 gemäß der verschiedenen Ausführungsformen der Erfindung stellt einen Mechanismus zur Reduzierung der Aus-Kapazität einer sie verwendenden Vorrichtung durch Steuerung von wenigstens einem Anteil an der intrinsischen FET-Kapazität bereit: der effektiven Dielektrizitätskonstante der lokalen Zwischenverbindungsschicht 130 und der ersten Metallschicht 132. In einem Beispiel wurde eine Verringerung der Aus-Kapazität zwischen ungefähr 15–60% beobachtet, wobei eine effektive Dielektrizitätskonstante der Zwischenverbindungsschicht 104 unter Verwendung des Luftspalts 188, 288 oder 388 von ungefähr 4 auf 2 verringert wurde.
  • Das oben beschriebene Verfahren wird in der Herstellung von integrierten Schaltungschips verwendet. Die sich ergebenden integrierten Schaltungschips können durch den Hersteller in der Form unfertiger Wafer (insbesondere als ein einzelner Wafer mit mehreren nicht eingehausten Chips), als reine Die oder in eingehauster Form vertrieben werden. Im letzteren Fall ist der Chip auf einem einzelnen Chipgehäuse (wie z. B. einem Plastikträger mit Leitungen, die an einem Motherboard oder einem anderen übergeordneten Träger angebracht sind) oder in einem Mehrchipgehäuse angebracht (wie z. B. einem Keramikträger, der entweder einseitig oder beidseitige Oberflächenzwischenverbindungen oder vergrabene Zwischenverbindungen aufweist). In jedem Fall wir der Chip dann mit anderen Chips, diskreten Schaltungselementen und/oder anderen Signalverarbeitungsvorrichtungen als Teil von entweder (a) einem Zwischenprodukt, wie z. B. einem Motherboard, oder (b) einem Endprodukt integriert. Das Endprodukt kann ein beliebiges Produkt darstellen, das integrierte Schaltungschips umfasst, die von Spielzeug und anderen low-end Geräten zu fortgeschrittenen Computerprodukten mit einem Display, einer Tastatur oder einer anderen Eingabevorrichtung und einem Zentralprozessor reichen.
  • Die hierin verwendete Terminologie dient lediglich der Beschreibung spezieller Ausführungsformen und soll die Erfindung nicht beschränken. Die einzelnen Einzahlformen ”ein”, ”eine” ”einer” und ”der/die/das” sollen auch die Mehrzahlformen umfassen, sofern dies nicht anderweitig angezeigt wird. Die Ausdrücke ”umfasst” und/oder ”umfassend” spezifizieren das Vorhandensein der angegebenen Merkmale, Zahlen, Schritte, Arbeitsschritte, Elemente und/oder Komponenten, wie in dieser Beschreibung verwendet wird, soll jedoch die Gegenwart oder den Zusatz von wenigstens einem anderen Merkmal, Zahl, Schritt, Arbeitsschritt, Element, Komponente und/oder Gruppen davon ausschließen. ”Optional” bedeutet, dass das nachfolgend beschriebene Ereignis oder der nachfolgend beschriebene Umstand auftreten kann oder nicht und die Beschreibung Fälle umfasst, in denen das Ereignis auftritt, und Fälle beschreibt, in denen es nicht auftritt.
  • Die hierin verwendete ungefähre Sprache in der Beschreibung und den Ansprüchen kann eine beliebe quantitative Darstellung modifizieren, die variieren darf, ohne zu einer Änderung in der grundsätzlichen Funktion zu führen, die sie betrifft. Entsprechend sollen ein Wert, der durch einen Ausdruck oder durch Ausdrücke modifiziert wird, wie z. B. ”ungefähr” und ”im Wesentlichen” nicht auf den speziellen präzisen Wert beschränkt angesehen werden. In wenigstens einigen Fällen kann die ungefähre Sprache der Genauigkeit eines Instruments zum Messen des Werts entsprechen. Hier und in der Beschreibung und den Ansprüchen können Bereichsgrenzen kombiniert und/oder ausgetauscht werden. Entsprechende Bereiche werden identifiziert und umfassen alle darin enthaltenen Unterbereiche, sofern der Zusammenhang oder die Sprache dies nicht anderweitig anzeigt. ”Ungefähr”, wie auf einem speziellen Wert eines Bereichs angewendet wird, betrifft beide Werte und kann, sofern nicht anderweitig von der Genauigkeit des Instruments abhängt, welches den Wert misst, +/–10% des bzw. der angegebenen Werts bzw. Werte anzeigen.
  • Die entsprechenden Strukturen, Materialien, Aktionen und Äquivalente aller Mittelplus-Funktions- oder Schritt-plus-Funktions-Elemente in den Ansprüchen unten sollen jede Struktur, jedes Material oder jede Handlung zur Durchführung der Funktion in Kombination mit anderen beanspruchten Elementen umfassen, die speziell beansprucht sind. Die Beschreibung der vorliegenden Erfindung erfolgte zur Veranschaulichung und Beschreibung. Die soll nicht vollständig sein und die Erfindung auf die offenbarten Formen beschränken. Viele Modifizierungen und Variationen sind dem Fachmann ersichtlich, ohne vom Rahmen und Wesen der Erfindung abzuweichen. Die Ausführungsform wurde ausgewählt und beschrieben, um die Prinzipien der Erfindung und die praktische Anwendung am besten zu erläutern und anderen als den Fachmann das Verständnis der Erfindung hinsichtlich der verschiedenen Ausführungsformen mit verschiedenen Modifizierungen zu erlauben, die für die Verwendung am besten geeignet sind.

Claims (20)

  1. Halbleitervorrichtung, umfassend: ein Transistorgate in einer Vorrichtungsschicht; eine Zwischenverbindungsschicht über der Vorrichtungsschicht; und einen Luftspalt, der sich durch die Zwischenverbindungsschicht über dem Transistorgate erstreckt.
  2. Halbleitervorrichtung nach Anspruch 1, wobei die Zwischenverbindungsschicht eine lokale Zwischenverbindungschicht über der Vorrichtungsschicht und eine erste Metallschicht über der lokalen Zwischenverbindungsschicht umfasst, und das Dielektrikum der Zwischenverbindungsschicht an dem Luftspalt jeden leitfähigen Draht in der ersten Metallschicht oder jede leitfähige Durchkontraktierung in der lokalen Zwischenverbindungsschicht bedeckt.
  3. Halbleitervorrichtung nach Anspruch 2, wobei die erste Metallschicht eine erste Metalldeckschicht an einer oberen Oberfläche davon umfasst, und wobei eine Breite des Luftspalts in der ersten Metalldeckschicht kleiner als eine Breite des Luftspalts in einem Dielektrikum der ersten Metallschicht unter der ersten Metalldeckschicht.
  4. Halbleitervorrichtung nach Anspruch 2, wobei die lokale Zwischenverbindungsschicht eine lokale Zwischenverbindungsdeckschicht an einer oberen Oberfläche davon umfasst und die erste Metallschicht eine erste Metalldeckschicht an einer oberen Oberfläche davon umfasst und sich eine Kante der lokalen Zwischenverbindungsdeckschicht und/oder der ersten Metalldeckschicht in den Luftspalt erstreckt.
  5. Halbleitervorrichtung nach Anspruch 2, wobei die erste Metallschicht einen Metalldraht umfasst, der sich seitlich parallel zu dem Transistorgate in der Vorrichtungsschicht erstreckt, und wobei sich der Luftspalt über und unter dem Metalldraht vertikal erstreckt.
  6. Halbleitervorrichtung nach Anspruch 1, wobei sich der Luftspalt lediglich teilweise in eine Luftspaltdeckschicht über der ersten Metallschicht erstreckt.
  7. Halbleitervorrichtung nach Anspruch 6, wobei die Luftspaltdeckschicht ein mittels chemischer Gasphasenabscheidung (CVD) abgeschiedenes Dielektrikum umfasst.
  8. Halbleitervorrichtung nach Anspruch 7, wobei das CVD-Dielektrikum ein mittels Plasma unterstützter chemischer Gasphasenabscheidung (PECVD) abgeschiedenes Siliziumoxid umfasst.
  9. Halbleitervorrichtung nach Anspruch 1, wobei das Transistorgate einen Körper, eine Silizidschicht über dem Körper und eine Ätzstoppschicht über der Silizidschicht umfasst.
  10. Halbleitervorrichtung nach Anspruch 9, wobei der Luftspalt mit der Ätzstoppschicht in Kontakt tritt.
  11. Halbleitervorrichtung nach Anspruch 9, wobei der Luftspalt mit der Silizidschicht in Kontakt tritt.
  12. Halbleitervorrichtung nach Anspruch 9, wobei der Luftspalt mit dem Körper des Transistorgates in Kontakt tritt.
  13. Halbleitervorrichtung nach Anspruch 1, wobei der Luftspalt eine Mehrzahl von Luftspalte umfasst, wobei sich jeder Luftspalt durch die Zwischenverbindungsschicht erstreckt, um mit der oberen Oberfläche eines Transistorgates in Kontakt zu treten.
  14. Halbleitervorrichtung nach Anspruch 1, wobei der Luftspalt seitlich verlängert ist.
  15. Halbleitervorrichtung nach Anspruch 1, wobei der Luftspalt einen Abschnitt in einer seitlich angeordneten T-Gestalt umfasst.
  16. Halbleitervorrichtung nach Anspruch 1, wobei der Luftspalt eine erste Breite seitlich an einem Kontakt oder einer Durchkontaktierung aufweist und seitlich zwischen den Kontakten oder den Durchkontaktierungen eine zweite Breite aufweist, die breiter ist als die erste Breite.
  17. Radiofrequenz-Semiconductor-on-Insulator(RFSOI)-Schalter, umfassend: ein Transistorgate in einer Semiconductor-on-Insulator(SOI)-Schicht eines SOI-Substrats; eine Zwischenverbindungsschicht über der SOI-Schicht, wobei die Zwischenverbindungsschicht eine lokale Zwischenverbindungsschicht über der SOI-Schicht und eine erste Metallschicht über der lokalen Zwischenverbindungsschicht umfasst; und einen Luftspalt, der sich durch ein Dielektrikum der Zwischenverbindungsschicht über dem Transistorgate erstreckt.
  18. RFSOI-Schalter nach Anspruch 17, wobei das Transistorgate einen Körper, eine Silizidschicht über dem Körper und eine Ätzstoppschicht über der Silizidschicht umfasst, und wobei der Luftspalt mit der Ätzstoppschicht, der Silizidschicht oder dem Körper des Transistorgeräts in Kontakt tritt.
  19. RFSOI-Schalter nach Anspruch 17, wobei der Luftspalt eine erste Breite seitlich neben einem Kontakt oder einer Durchkontaktierung und seitlich zwischen Kontakten oder Durchkontaktierungen eine zweite Breite aufweist, wobei die zweite Breite breiter ist als die erste Breite.
  20. RFSOI-Schalter nach Anspruch 17, wobei sich der Luftspalt vertikal lediglich teilweise in eine Luftspaltdeckschicht über der ersten Metallschicht erstreckt.
DE102017207777.8A 2016-05-12 2017-05-09 Luftspalt über Transistorgate und entsprechender RFSOI-Schalter Active DE102017207777B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/152,794 2016-05-12
US15/152,794 US10211146B2 (en) 2016-05-12 2016-05-12 Air gap over transistor gate and related method

Publications (2)

Publication Number Publication Date
DE102017207777A1 true DE102017207777A1 (de) 2017-11-16
DE102017207777B4 DE102017207777B4 (de) 2023-03-30

Family

ID=60163377

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017207777.8A Active DE102017207777B4 (de) 2016-05-12 2017-05-09 Luftspalt über Transistorgate und entsprechender RFSOI-Schalter

Country Status (4)

Country Link
US (1) US10211146B2 (de)
CN (1) CN107424968B (de)
DE (1) DE102017207777B4 (de)
TW (1) TWI637480B (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020111378A1 (de) 2020-04-15 2021-10-21 Taiwan Semiconductor Manufacturing Co. Ltd. Reduzierte rc-verzögerung in halbleitervorrichtungen
DE102016114912B4 (de) 2016-05-31 2021-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiterstruktur und Verfahren zu deren Herstellung

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10879165B2 (en) * 2015-10-16 2020-12-29 Sony Corporation Semiconductor device and method for manufacturing semiconductor device with low-permittivity layers
WO2018125098A1 (en) * 2016-12-28 2018-07-05 Intel Corporation Pitch quartered three-dimensional air gaps
CN109545735B (zh) * 2017-09-22 2022-01-28 蓝枪半导体有限责任公司 金属内连线结构及其制作方法
KR102598117B1 (ko) * 2018-05-25 2023-11-02 주식회사 디비하이텍 에어갭이 형성된 알에프 스위치 소자 및 제조방법
US10854506B2 (en) * 2018-09-27 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10796949B2 (en) 2018-10-19 2020-10-06 International Business Machines Corporation Airgap vias in electrical interconnects
US20200194459A1 (en) * 2018-12-18 2020-06-18 Vanguard International Semiconductor Corporation Semiconductor devices and methods for fabricating the same
US10840128B2 (en) * 2019-01-14 2020-11-17 Tower Semiconductors Ltd. Semiconductor device having a radio frequency circuit and a method for manufacturing the semiconductor device
CN110148583B (zh) * 2019-05-14 2021-06-18 上海华虹宏力半导体制造有限公司 形成金属互连结构的方法
US11417749B2 (en) * 2019-06-14 2022-08-16 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with airgap and method of forming
TW202101675A (zh) * 2019-06-20 2021-01-01 日商索尼半導體解決方案公司 半導體裝置及半導體裝置之製造方法
US11024592B2 (en) * 2019-10-18 2021-06-01 Nanya Technology Corporation Semiconductor device with spacer over sidewall of bonding pad and method for preparing the same
US11127678B2 (en) * 2019-12-10 2021-09-21 Globalfoundries U.S. Inc. Dual dielectric layer for closing seam in air gap structure
CN113206055B (zh) * 2020-02-03 2023-08-01 联华电子股份有限公司 具有气隙的半导体结构
CN117393536A (zh) * 2020-04-27 2024-01-12 联华电子股份有限公司 半导体元件及其制造方法
CN113809044A (zh) 2020-06-12 2021-12-17 联华电子股份有限公司 半导体元件
US11557510B2 (en) * 2020-07-30 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including backside power rails
US11862693B2 (en) 2020-08-24 2024-01-02 Globalfoundries Singapore Pte. Ltd. Semiconductor devices including a drain captive structure having an air gap and methods of forming the same
US11545548B1 (en) 2021-06-29 2023-01-03 Globalfoundries U.S. Inc. Gate contacts with airgap isolation
US20230039621A1 (en) * 2021-08-05 2023-02-09 Micron Technology, Inc. Memory Array And Method Used In Forming A Memory Array

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4239319C2 (de) 1992-11-23 1996-10-02 Ignaz Prof Dr Eisele Verfahren zum spacerfreien, hybriden Aufbau von Luftspalt und Gate von Suspended Gate Feldeffekttransistoren (SGFET) sowie nach dem Verfahren hergestellte Bauelemente
DE4333875C2 (de) 1993-10-05 1995-08-17 Zenko Dipl Ing Gergintschew Halbleiter-Gassensor auf der Basis eines Kapazitiv Gesteuerten Feldeffekttransistors (Capacitive Controlled Field Effect Transistor, CCFET)
ATE255769T1 (de) 1997-01-21 2003-12-15 Georgia Tech Res Inst Verfahren zur herstellung einer halbleitervorrichtung mit luftspalten für verbindungen mit ultraniedriger kapazität
TW393693B (en) 1997-07-26 2000-06-11 United Microelectronics Corp MOS device with air-gap spacers and its manufacturing method
US6211561B1 (en) 1998-11-16 2001-04-03 Conexant Systems, Inc. Interconnect structure and method employing air gaps between metal lines and between metal layers
US6984577B1 (en) 2000-09-20 2006-01-10 Newport Fab, Llc Damascene interconnect structure and fabrication method having air gaps between metal lines and metal layers
TW462122B (en) 2000-12-18 2001-11-01 United Microelectronics Corp Air gap semiconductor structure and the manufacturing method thereof
US7449407B2 (en) 2002-11-15 2008-11-11 United Microelectronics Corporation Air gap for dual damascene applications
US6917109B2 (en) 2002-11-15 2005-07-12 United Micorelectronics, Corp. Air gap structure and formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device
US7138329B2 (en) 2002-11-15 2006-11-21 United Microelectronics Corporation Air gap for tungsten/aluminum plug applications
DE102004003337A1 (de) * 2004-01-22 2005-08-18 Infineon Technologies Ag Plasmaangeregtes chemisches Gasphasenabscheide-Verfahren, Silizium-Sauerstoff-Stickstoff-haltiges Material und Schicht-Anordnung
JP4918778B2 (ja) 2005-11-16 2012-04-18 株式会社日立製作所 半導体集積回路装置の製造方法
US7531407B2 (en) * 2006-07-18 2009-05-12 International Business Machines Corporation Semiconductor integrated circuit devices having high-Q wafer backside inductors and methods of fabricating same
US8298911B2 (en) 2009-03-26 2012-10-30 Samsung Electronics Co., Ltd. Methods of forming wiring structures
KR101536333B1 (ko) 2009-03-26 2015-07-14 삼성전자주식회사 배선 구조물 및 이의 형성 방법
DE102009023377B4 (de) 2009-05-29 2017-12-28 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
JP5491077B2 (ja) 2009-06-08 2014-05-14 キヤノン株式会社 半導体装置、及び半導体装置の製造方法
US7892926B2 (en) * 2009-07-24 2011-02-22 International Business Machines Corporation Fuse link structures using film stress for programming and methods of manufacture
US8399350B2 (en) * 2010-02-05 2013-03-19 International Business Machines Corporation Formation of air gap with protection of metal lines
US8232618B2 (en) * 2010-08-11 2012-07-31 International Business Machines Corporation Semiconductor structure having a contact-level air gap within the interlayer dielectrics above a semiconductor device and a method of forming the semiconductor structure using a self-assembly approach
KR20120025315A (ko) 2010-09-07 2012-03-15 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9449811B2 (en) 2014-03-12 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Air-gap scheme for BEOL process
JP6295802B2 (ja) * 2014-04-18 2018-03-20 ソニー株式会社 高周波デバイス用電界効果トランジスタおよびその製造方法、ならびに高周波デバイス

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016114912B4 (de) 2016-05-31 2021-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiterstruktur und Verfahren zu deren Herstellung
DE102020111378A1 (de) 2020-04-15 2021-10-21 Taiwan Semiconductor Manufacturing Co. Ltd. Reduzierte rc-verzögerung in halbleitervorrichtungen
US11335638B2 (en) 2020-04-15 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing RC delay in semiconductor devices
DE102020111378B4 (de) 2020-04-15 2022-07-14 Taiwan Semiconductor Manufacturing Co. Ltd. Reduzierte rc-verzögerung in halbleitervorrichtungen
US11804439B2 (en) 2020-04-15 2023-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing RC delay in semiconductor devices

Also Published As

Publication number Publication date
TWI637480B (zh) 2018-10-01
US20170330832A1 (en) 2017-11-16
TW201806126A (zh) 2018-02-16
CN107424968B (zh) 2020-03-13
CN107424968A (zh) 2017-12-01
DE102017207777B4 (de) 2023-03-30
US10211146B2 (en) 2019-02-19

Similar Documents

Publication Publication Date Title
DE102017207873B4 (de) Verfahren zum Bilden eines Luftspalts für eine Halbleitervorrichtung
DE102017207777B4 (de) Luftspalt über Transistorgate und entsprechender RFSOI-Schalter
DE112017003172B4 (de) Bildung eines Luftspalt-Abstandhalters für nanoskalige Halbleiterbauelemente und Halbleitervorrichtung
DE102016114705B4 (de) Ätzstoppschicht für Halbleiter-Bauelemente
DE102019201354B4 (de) Verfahren für eine Gate-Schnitt-Struktur mit Liner-Abstandshalter
DE102012219171B4 (de) Verfahren zum Bilden einer dreidimensionalen (3D) integrierten Schaltung und entsprechend gebildete dreidimensionale (3D) integrierte Schaltung
DE102013110023B4 (de) Herstellung einer Nanodraht-Halbleitervorrichtung
DE102013108147B4 (de) Verfahren und Struktur für vertikalen Tunnel-Feldeffekttransistor und planare Vorrichtungen
DE102018202897A1 (de) Austauschmetallgatestrukturierung für Nanosheet-Vorrichtungen
DE102014019360B4 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102019126237A1 (de) Dielektrische finnen mit unterschiedlichen dielektrizitätskonstanten und grössen in unterschiedlichen zonen einer halbleitervorrichtung
DE102017117942A1 (de) Multi-Gate-Vorrichtung und Herstellungsverfahren dafür
DE102019124526B4 (de) Designprozess für ein Herstellungsverfahren eines Halbleiterbauelements
DE102015106411B4 (de) Obere metallische kontaktpads als lokale verbinder von vertikaltransistoren
DE102010029533B3 (de) Selektive Größenreduzierung von Kontaktelementen in einem Halbleiterbauelement
DE102018200041A1 (de) Bildung von Diffusionsunterbrechung nach Bildung von Source/Drain und zugehörige IC-Struktur
DE102017124072B4 (de) Zusammenschaltungsstruktur für halbleitervorrichtung und verfahren zu ihrer herstellung
DE102020111378B4 (de) Reduzierte rc-verzögerung in halbleitervorrichtungen
DE102020129523A1 (de) Duale dielektrische schicht für schliessende verbindungsstelle in luftspaltstrukturen
DE102022100207B4 (de) Struktur, die einen Poly-Widerstand unter flacher Grabenisolation und über Polysiliziumschicht mit hohem Widerstand bereitstellt und Verfahren zu deren Herstellung
DE102017117865A1 (de) Verbindungsstruktur und zugehörige Verfahren
DE102020127451B4 (de) Verfahren zur Bildung einer rückseitigen Langkanalstromschienenvorrichtung und zugehörige Halbleitervorrichtung
DE102016114724A1 (de) Verfahren zum Ausbilden von Gräben mit unterschiedlichen Tiefen
DE102018206438B4 (de) Verfahren zur Herstellung von Kontaktstrukturen
DE102019219072B4 (de) Dummy-Füllschema zur Verwendung mit passiven Vorrichtungen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R083 Amendment of/additions to inventor(s)
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0029060000

Ipc: H01L0023522000

R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R130 Divisional application to

Ref document number: 102017012436

Country of ref document: DE

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final