DE102022100207B4 - Struktur, die einen Poly-Widerstand unter flacher Grabenisolation und über Polysiliziumschicht mit hohem Widerstand bereitstellt und Verfahren zu deren Herstellung - Google Patents

Struktur, die einen Poly-Widerstand unter flacher Grabenisolation und über Polysiliziumschicht mit hohem Widerstand bereitstellt und Verfahren zu deren Herstellung Download PDF

Info

Publication number
DE102022100207B4
DE102022100207B4 DE102022100207.1A DE102022100207A DE102022100207B4 DE 102022100207 B4 DE102022100207 B4 DE 102022100207B4 DE 102022100207 A DE102022100207 A DE 102022100207A DE 102022100207 B4 DE102022100207 B4 DE 102022100207B4
Authority
DE
Germany
Prior art keywords
polysilicon layer
sti
doped buried
doped
under
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102022100207.1A
Other languages
English (en)
Other versions
DE102022100207A1 (de
Inventor
Michael J. Zierak
Siva P. Adusumilli
Yves. T. Ngu
Steven M . Shank
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries US Inc filed Critical GlobalFoundries US Inc
Publication of DE102022100207A1 publication Critical patent/DE102022100207A1/de
Application granted granted Critical
Publication of DE102022100207B4 publication Critical patent/DE102022100207B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/763Polycrystalline semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors

Abstract

Struktur (100), umfassend:eine STI (110);eine dotierte vergrabene Polysiliziumschicht (132) unter dem STI (110);eine HR-Polysiliziumschicht (140) unter der dotierten vergrabenen Polysiliziumschicht (132); undein Paar von Kontakten (144, 146), die mit der dotierten vergrabenen Polysiliziumschicht (132) unter Beabstandung funktionell gekoppelt sind, wobei die HR-Polysiliziumschicht einen edlen Dotierstoff umfasst.

Description

  • Hintergrund
  • Die vorliegende Erfindung bezieht sich auf integrierte Schaltungen (IC) und insbesondere auf eine Struktur, die einen Polysiliziumwiderstand unter einer flachen Grabenisolation (STI) und über einer Polysiliziumschicht mit hohem Widerstand bereitstellt.
  • Widerstände für eine IC werden häufig in dielektrischen Zwischenschichten (ILD) über den Transistoren gebildet. Diese Schichten werden als Middle-of-Line-Schichten (MOL-Schichten) und Back-End-of-Line-Schichten (BEOL-Schichten) bezeichnet. MOL-Schichten liegen direkt über den Front-End-of-Line-Schichten (FEOL-Schichten), die die Transistoren enthalten, und BEOL-Schichten liegen über den MOL-Schichten. Sowohl die MOL- als auch die BEOL-Schichten stellen skalierende Zwischenverbindungen für den IC. Die Widerstände werden häufig über einem Oxid oder STI gebildet, was die Wärmeabgabe vom Widerstand an das Substrat verringert. Bei Polysilizium-Widerständen, die auf der Oberfläche eines Substrats, z. B. über einem STI, angebracht sind, sind temperaturbedingte Widerstandsschwankungen bei hohem Strom ebenfalls ein Problem. Darüber hinaus erstrecken sich die Widerstände horizontal innerhalb der Schichten, nehmen wertvolle Fläche in Anspruch und blockieren möglicherweise den Zugang zu anderen darunterliegenden funktionalen Komponenten, was komplexe elektrische Verbindungen zu diesen Komponenten oder das Hinzufügen weiterer, nicht vom Widerstand abgedeckter Komponenten erfordert.
  • Bekannt sind dabei aus US 2007 / 0 194 390 A1 eine Halbleiterstruktur mit einem Widerstand unter einem Wannenbereich im Halbleitersubstrat angeordnet sowie aus US 2016 / 0 358 905 A1 eine Halbleiterstruktur betrifft, bei der vergrabene Widerstände in einer Puffer-Schicht der Halbleitereinrichtung angeordnet sind.
  • Zusammenfassung
  • Ein Aspekt der Erfindung umfasst eine Struktur, umfassend: eine flache Grabenisolation (STI); eine dotierte, vergrabene Polysiliziumschicht unter dem STI; eine Polysiliziumschicht mit hohem Widerstand (HR-Polysiliziumschicht) unter der dotierten, vergrabenen Polysiliziumschicht, welche einen edlen Dotierstoff umfasst; und ein Paar von Kontakte, die funktionell mit der dotierten, vergrabenen Polysiliziumschicht unter Beabstandung verbunden sind.
  • Ein weiterer Aspekt der Erfindung ist auf eine Struktur gerichtet, umfassend: eine flache Grabenisolation (STI); einen Widerstand mit einer dotierten vergrabenen Polysiliziumschicht unter dem STI; eine Polysiliziumschicht mit hohem Widerstand (HR-Polysiliziumschicht) unter dem Widerstand; und ein Paar von Kontakte, die funktionell unter Beabstandung mit dem Widerstand gekoppelt sind, wobei die HR-Polysiliziumschicht einen edlen Dotierstoff (noble dopant) umfasst und wobei die dotierte vergrabene Polysiliziumschicht einen Bor-Dotierstoff umfasst.
  • Ein weiterer Aspekt der Erfindung umfasst einen Aspekt der Erfindung, der sich auf ein Verfahren bezieht, umfassend: ein Bilden einer flachen Grabenisolation (STI) in einem Substrat; ein Dotieren des Substrats mit einem edlen Dotierstoff; ein Bilden einer ungeordneten kristallographischen Schicht unter dem STI; ein Umwandeln der ungeordneten kristallographischen Schicht in eine dotierte vergrabene Polysiliziumschicht unter dem STI und eine Polysiliziumschicht mit hohem Widerstand (HR) unter der dotierten vergrabenen Polysiliziumschicht; und ein Bilden eines Paares von Kontakte, die in einer beabstandeten Weise funktionell mit der dotierten vergrabenen Polysiliziumschicht verbunden sind.
  • Die obigen und andere Merkmale der Erfindung sind aus der folgenden detaillierten Beschreibung von Ausführungsformen der Erfindung ersichtlich.
  • Erfindungsgemäß ist dabei eine Struktur nach Anspruch 1 oder 10 sowie ein Verfahren nach Anspruch 15.
  • Figurenliste
  • Die Ausführungsformen der vorliegenden Erfindung sind mit Bezug auf die folgenden Figuren im Einzelnen beschrieben, wobei gleiche Bezugszeichen gleiche Elemente bezeichnen und wobei:
    • 1 eine Querschnittsansicht einer Struktur mit einem Polywiderstand gemäß Ausführungsformen der Erfindung zeigt.
    • 2 eine ebene Ansicht der Struktur von 1 (siehe Ansichtslinie 1-1) mit dem Polywiderstand gemäß Ausführungsformen der Erfindung zeigt.
    • 3 eine Querschnittsansicht einer Struktur mit einem Polywiderstand gemäß anderen Ausführungsformen der Erfindung zeigt.
    • 4 eine Querschnittsansicht einer Struktur mit einem Poly-Widerstand gemäß weiteren Ausführungsformen der Erfindung zeigt.
    • 5 eine Querschnittsansicht einer vorläufigen Struktur für ein Verfahren gemäß Ausführungsformen der Erfindung zeigt.
    • 6 eine Querschnittsansicht eines Einbringens eines edlen Dotierstoffs zur Bildung einer ungeordneten kristallographischen Schicht gemäß Ausführungsformen der Erfindung zeigt.
    • 7 eine Querschnittsansicht der Umwandlung der ungeordneten kristallographischen Schicht aus 6 in eine dotierte vergrabene Polysiliziumschicht unter einem STI und eine Polysiliziumschicht mit hohem Widerstand (HR-Polysiliziumschicht) unter der dotierten vergrabenen Polysiliziumschicht gemäß Ausführungsformen der Erfindung zeigt.
    • 8 eine Querschnittsansicht eines Einbringens eines ersten Dotierstoffs zur Bildung von Kontakten für den Polywiderstand gemäß Ausführungsformen der Erfindung zeigt.
    • 9 eine Querschnittsansicht eines Einbringens eines zweiten Dotierstoffs zur Bildung von Kontakten für den Polywiderstand gemäß den Ausführungsformen der Erfindung.
    • 10 eine Querschnittsansicht eines Einbringens eines edlen Dotierstoffs zur Bildung einer ungeordneten kristallographischen Schicht gemäß anderen Ausführungsformen der Erfindung zeigt.
    • 11 eine Querschnittsansicht einer Umwandlung der ungeordneten kristallographischen Schicht aus 10 in eine dotierte vergrabene Polysiliziumschicht unter einem STI und eine HR-Polysiliziumschicht unter der dotierten vergrabenen Polysiliziumschicht gemäß anderen Ausführungsformen der Erfindung zeigt.
    • 12 eine Querschnittsansicht eines Einbringens eines Dotierstoffs zur Bildung von Kontakten für den Polywiderstand gemäß Ausführungsformen der Erfindung zeigt.
    • 13 eine Querschnittsansicht eines Einbringens eines edlen Dotierstoffs zur Bildung einer ungeordneten kristallographischen Schicht gemäß einer weiteren Ausführungsform der Erfindung zeigt.
  • Die Zeichnungen der Erfindung sind nicht unbedingt maßstabsgetreu. Die Zeichnungen sollen nur typische Aspekte der Erfindung darstellen und sind daher nicht als den Umfang der Erfindung beschränkend anzusehen. In den Zeichnungen bezeichnen gleiche Bezugszeichen gleiche Elemente in den Zeichnungen.
  • Detaillierte Beschreibung
  • In der folgenden Beschreibung wird auf die beiliegenden Zeichnungen Bezug genommen, die einen Teil davon bilden und in denen zur Veranschaulichung bestimmte Ausführungsformen gezeigt sind, in denen die vorliegende Erfindung angewendet werden kann. Diese Ausführungsformen sind hinreichend detailliert beschrieben, um dem Fachmann die Anwendung der vorliegenden Erfindung zu ermöglichen. Es können auch andere Ausführungsformen verwendet und Änderungen vorgenommen werden, ohne vom Anwendungsbereich der vorliegenden Erfindung abzuweichen. Die folgende Beschreibung dient daher nur der Veranschaulichung.
  • Wenn ein Element wie eine Schicht, ein Bereich oder ein Substrat als „auf“ oder „über“ einem anderen Element bezeichnet wird, kann es sich direkt auf dem anderen Element befinden oder es können auch dazwischenliegende Elemente vorhanden sein. Wird ein Element dagegen als „direkt auf“ oder „direkt über“ einem anderen Element bezeichnet, so sind keine Zwischenelemente vorhanden. Wenn ein Element als mit einem anderen Element „verbunden“ oder „gekoppelt“ bezeichnet wird, kann es mit dem anderen Element direkt verbunden oder gekoppelt sein oder es können dazwischenliegende Elemente vorhanden sein. Wird ein Element hingegen als mit einem anderen Element „direkt verbunden“ oder „direkt gekoppelt“ bezeichnet, sind keine dazwischenliegenden Elemente vorhanden.
  • Eine Bezugnahme in der Beschreibung auf „eine Ausführungsform“ der vorliegenden Erfindung sowie andere Varianten davon bedeutet, dass ein bestimmtes Merkmal, eine bestimmte Struktur, eine bestimmte Eigenschaft usw., die im Zusammenhang mit der Ausführungsform beschrieben sind, in mindestens einer Ausführungsform der vorliegenden Erfindung enthalten sind. Daher beziehen sich die Ausdrücke „in einer Ausführungsform“ oder sowie alle anderen Varianten, die an verschiedenen Stellen in der Beschreibung erscheinen, nicht notwendigerweise alle auf dieselbe Ausführungsform. Es ist zu verstehen, dass die Verwendung von „/“, „und/oder“ und „mindestens eines von“, z. B. in den Fällen „A/B“, „A und/oder B“ und „mindestens eines von A und B“, nur die Auswahl der ersten aufgeführten Option (A) oder nur die Auswahl der zweiten aufgeführten Option (B) oder die Auswahl beider Optionen (A und B) umfassen soll. Ein weiteres Beispiel: In den Fällen „A, B und/oder C“ und „mindestens eine der Optionen A, B und C“ soll diese Formulierung nur die erste aufgeführte Option (A) oder nur die Auswahl der zweiten aufgeführten Option (B) oder nur die Auswahl der dritten aufgeführten Option (C) oder die Auswahl der ersten und der zweiten aufgeführten Option (A und B) oder die Auswahl der ersten und der dritten aufgeführten Option (A und C) oder die Auswahl der zweiten und der dritten aufgeführten Option (B und C) oder die Auswahl aller drei Optionen (A und B und C) umfassen. Dies kann, wie für einen Fachmann leicht ersichtlich, für beliebig viele aufgelistete Optionen erweitert werden.
  • Ausführungsformen der Erfindung stellen eine Struktur bereit, die einen Polysiliziumwiderstand (Poly-Widerstand) unter einer flachen Grabenisolation (STI) bereitstellt. Die Struktur umfasst das STI, einen Widerstand in Form einer dotierten vergrabenen Polysiliziumschicht unter dem STI und eine Polysiliziumschicht mit hohem Widerstand (HR-Polysiliziumschicht) unter der dotierten vergrabenen Polysiliziumschicht. Die Struktur umfasst auch ein Paar von Kontakte, die in einem Abstand von der dotierten vergrabenen Polysiliziumschicht funktionell gekoppelt sind. Es wird ebenfalls ein entsprechendes Verfahren beschrieben. Die Struktur eliminiert ein Oxid/STI unter dem Widerstand, was die Wärmeableitung des Widerstands an das Substrat verbessert. Die Struktur ermöglicht auch eine verbesserte Widerstandsdichte (bei verringerter Fläche), indem sie gestapelte Poly-Widerstände unter dem STI und über dem STI zulässt. Die HR-Polysiliziumschicht bietet einen Isolationsbereich unter dem Polywiderstand, der die parasitäre Leckage aktiver Bauelemente an das Substrat verringert. In dieser Umgebung sorgt die HR-Polysiliziumschicht auch für Wärmeleitfähigkeit vom Polywiderstand mit reduzierter Substratkopplung und verbessertem Frequenzgang.
  • 1 zeigt eine Querschnittsansicht einer Struktur 100 gemäß den Ausführungsformen der Erfindung. Die Struktur 100 wird über einem Substrat 102 gebildet. Gemäß Darstellung können Ausführungsformen der Erfindung auf einem Bulk-Halbleitersubstrat 104 bereitgestellt werden. Die Erfindung der Erfindung können jedoch auch auf anderen Substraten, wie einem Halbleiter-auf-Isolator (SOI) -Substrat (nicht dargestellt), bereitgestellt werden. SOI-Substrate umfassen ein geschichtetes Halbleiter-Isolator-Halbleiter-Substrat anstelle eines herkömmlichen Bulk-Halbleitersubstrats. SOl-Substrate umfassen eine Halbleiter-auf-Isolator (SOI) -Schicht über einer vergrabenen Isolatorschicht über einer Halbleiterbasisschicht. Das Halbleitersubstrat 104 kann Silizium, Germanium, Siliziumgermanium, Siliziumkarbid und solche umfassen, die im Wesentlichen aus einem oder mehreren III-V-Verbindungshalbleitern mit einer Zusammensetzung gebildet sind, die durch die Formel AlX1GaX2InX3AsY1PY2NY3SbY4, wobei X1, X2, X3, Y1, Y2, Y3 und Y4 relative Anteile darstellen, die jeweils größer oder gleich Null sind und X1+X2+X3+Y1+Y2+Y3+Y4=1 (wobei 1 die gesamte relative Molmenge ist). Andere geeignete Substrate sind II-VI-Verbindungshalbleiter mit der Zusammensetzung ZnA1CdA2SeB1TeB2, wobei A1, A2, B1 und B2 relative Anteile sind, die jeweils größer als oder gleich Null sind und A1+A2+B1+B2=1 (1 ist die gesamte Molmenge). Wenn ein SOI-Substrat verwendet wird, können die SOI-Schicht und die Halbleiterbasisschicht jedes der oben genannten Halbleitermaterialien aufweisen. Außerdem kann ein Teil oder das gesamte Substrat 102 verspannt sein. In jedem Fall kann das Halbleitersubstrat 104 als amorphes Halbleitermaterial, z. B. ohne Wannen, bereitgestellt sein.
  • Die Struktur 100 umfasst auch eine flache Grabenisolation (STI) 110. Das STI 110 umfasst einen in das Substrat 102 geätzten Graben 112, der mit einem isolierenden Material 114 gefüllt ist. In bestimmten Ausführungsformen kann das STI 110 einen Bereich des Substrats gegenüber einem benachbarten Bereich des Substrats isolieren. Zum Beispiel kann das STI 110 einen aktiven Bereich 120 von einem anderen aktiven Bereich 122 elektrisch isolieren.
  • Innerhalb eines durch das STI 110 isolierten Bereichs können ein oder mehrere Transistoren (nicht dargestellt) einer gegebenen Polarität angeordnet sein. Das isolierende Material 114 kann jede derzeit bekannte oder später entwickelte Substanz zur elektrischen Isolierung umfassen, beispielsweise: Siliziumnitrid (Si3N4), Siliziumoxid (SiO2), fluoriertes SiO2 (FSG), hydriertes Siliziumoxycarbid (SiCOH), poröses SiCOH, Bor-Phospho-Silikatglas (BPSG), Silsesquioxane, mit Kohlenstoff (C) dotierte Oxide (d. h., Organosilikate), die Silizium- (Si), Kohlenstoff- (C), Sauerstoff- (O) und/oder Wasserstoffatome (H) enthalten, duroplastische Polyarylenether, ein Silizium-Kohlenstoff-haltiges Polymermaterial, nahezu reibungsfreier Kohlenstoff (near frictionless carbon, NFC) oder Schichten davon.
  • Über dem STI 110 können typische Polywiderstände (nicht abgebildet) in einer dielektrischen Zwischenschicht (ILD) 124 ausgebildet sein. In diesem Fall begrenzt die STI 110 die Wärmeabgabe an das Substrat 102. Geeignete dielektrische Materialien für die ILD-Schicht 124 können ohne Beschränkung sein: mit Kohlenstoff dotierte Siliziumdioxidmaterialien; fluoriertes Silikatglas (FSG); organische polymere duroplastische Materialien; Siliziumoxycarbid; SiCOH-Dielektrika; fluordotiertes Siliziumoxid; Spin-on-Gläser; Silsesquioxane, einschließlich Hydrogensilsesquioxan (HSQ), Methylsilsesquioxan (MSQ) und Mischungen oder Copolymere von HSQ und MSQ; Polymerdielektrika auf Benzocyclobutenbasis (BCB) und alle siliziumhaltigen Low-k-Dielektrika. Beispiele für aufschleuderbare Low-k-Filme mit SiCOH-artiger Zusammensetzung unter Verwendung der Silsesquioxan-Chemie sind HOSP™ (erhältlich von Honeywell), JSR 5109 und 5108 (erhältlich von Japan Synthetic Rubber), Zirkon™ (erhältlich von Shipley Microelectronics, einer Abteilung von Rohm and Haas) und poröse Low-k-Materialien (erhältlich von Applied Materials). Beispiele für kohlenstoffdotierte Siliziumdioxidmaterialien oder Organosilane sind Black Diamond™ (erhältlich von Applied Materials) und Coral™ (erhältlich von Lam Research). Ein Beispiel für ein HSQ-Material ist FOx™ (erhältlich von Dow Corning).
  • Die Struktur 100 umfasst einen Widerstand 130 (hier auch als „Polywiderstand 130“ bezeichnet) mit einer dotierten vergrabenen Polysiliziumschicht 132 unter dem STI 110. Die dotierte vergrabene Polysiliziumschicht 132 wird als „vergraben“ bezeichnet, weil sie sich unter einer oberen Oberfläche 134 des Substrats 102 befindet (dieselbe Ebene wie die obere Oberfläche des STI 110 in 1). Die dotierte vergrabene Polysiliziumschicht 132 kann jeden Dotierstoff aufweisen, der den Widerstand der Schicht einstellen kann. In einem nicht beschränkenden Beispiel kann die dotierte vergrabene Polysiliziumschicht 132 unter dem STI 110 einen Bor (B) -Dotierstoff aufweisen. Sie kann jedoch auch mit anderen Dotierstoffen vom p-Typ oder n-Typ dotiert sein, z. B. in Abhängigkeit von der Dotierung im Substrat 102 oder unter einer darunterliegenden Polysiliziumschicht mit hohem Widerstand (HR-Polysiliziumschicht) (hierin beschrieben). Alternative Dotierstoffe können ohne Beschränkung umfassen: andere Dotierstoffe vom p-Typ wie Indium (In), Aluminium (AI) und/oder Gallium (Ga) oder Dotierstoffe vom n-Typ wie Phosphor (P), Arsen (As) und/oder Antimon (Sb). Die Dotierstoffkonzentration in der dotierten vergrabenen Polysiliziumschicht 132 kann eingestellt werden, um den Widerstand der Polysiliziumschicht 132 zu bestimmen.
  • Die Struktur 100 umfasst auch eine Polysiliziumschicht 140 mit hohem Widerstand (HR-Polysiliziumschicht) unter der dotierten vergrabenen Polysiliziumschicht 132. Die HR-Polysiliziumschicht 140 kann einen edlen Dotierstoff umfassen, der in der Lage ist, die kristalline Struktur der HR-Polysiliziumschicht 140 gegenüber der des Substrats 102 zu verändern, wodurch darin ein höherer Widerstand als im Substrat 102 und möglicherweise höher als in der dotierten vergrabenen Polysiliziumschicht 132 erzeugt wird. Der edle Dotierstoff kann zum Beispiel Argon (Ar), Neon (Ne), Krypton (Kr), Xenon (Xe), Helium (He) oder eine Kombination davon sein. In einer besonderen Ausführungsform wird Argon (Ar) verwendet.
  • Die Struktur 100 umfasst auch ein Paar von Kontakte 144, 146, die unter Beabstandung zur dotierten vergrabenen Polysiliziumschicht 132 funktionell gekoppelt sind. Die Kontakte 144, 146 bilden einen elektrisch leitfähigen Pfad zum Widerstand 130. In 1 umfasst jeder Kontakt 144, 146 ein dotiertes monokristallines Halbleitermaterial 150, das sich neben dem STI 110 erstreckt. Das heißt, das dotierte monokristalline Halbleitermaterial 150 befindet sich im Substrat 102 und erstreckt sich vertikal entlang der lateralen Seiten 151 des STI 100. Gemäß der Darstellung in 1 ist jeder Kontakt 144, 146 funktionell mit einem seitlichen Ende 152 der dotierten vergrabenen Polysiliziumschicht 132 verbunden. Außerdem berührt jeder Kontakt 144, 146 eine obere Oberfläche 154 der HR-Polysiliziumschicht 140. Das dotierte monokristalline Halbleitermaterial 150 kann einen Dotierstoff vom p-Typ oder n-Typ umfassen, je nach der Art der aktiven Vorrichtungen, die an anderen Stellen in den aktiven Bereichen 120, 122 gebildet werden. Dotierstoffe vom n-Typ können ohne Beschränkung Phosphor (P), Arsen (As) und Antimon (Sb) umfassen. N-Typ stellt jedes Element dar, das in den Halbleiter eingebracht wird, um ein freies Elektron zu erzeugen (indem dem Halbleiter ein Elektron „gespendet“ wird); es muss ein Valenzelektron mehr als der Halbleiter aufweisen. Dotierstoffe vom P-Typ können unter anderem Bor (B), Indium (In) und Gallium (Ga) sein. P-Typ stellt jedes Element dar, das in den Halbleiter eingebracht wird, um freie Löcher zu erzeugen (durch „Aufnahme“ von Elektronen von dem Halbleiteratom und gleichzeitige „Abgabe“ von Löchern); und das Akzeptoratom muss ein Valenzelektron weniger als der Wirtshalbleiter haben. Eine obere Schicht 158 der Kontakte 144, 146 kann eine höhere Dotierstoffkonzentration aufweisen als das dotierte einkristalline Halbleitermaterial 150. Jede heute bekannte oder später entwickelte Form von Metallkontakten oder Drähten 160 kann durch die ILD-Schicht 124 gebildet werden, um die Kontakte 144, 146 zu vervollständigen.
  • 2 zeigt eine eben Ansicht der Struktur 100. Gemäß Darstellung in 1 und 2 kann die Struktur 100 auch einen Isolationsring 170 aufweisen, der die dotierte vergrabene Polysiliziumschicht 132 begrenzt. Der Isolationsbereich 170 kann jede heute bekannte oder später entwickelte elektrische Isolationsstruktur aufweisen. So kann der Isolationsring 170 beispielsweise eine Grabenisolation oder eine dotierte Wanne umfassen. Wenn eine dotierte Wanne verwendet wird, hat sie eine andere Polarität als die Kontakte 144, 146, z. B. eine n-Wanne, in der das dotierte monokristalline Halbleitermaterial 150 mit einem Dotierstoff vom p-Typ dotiert ist. Wenn der Isolationsring 170 eine Grabenisolation aufweist, kann er ein STI, eine tiefe Grabenisolation (DTI, wie gezeigt) oder ein duales STI umfassen. In Form einer Grabenisolation kann der Isolationsring 170 ähnlich wie das STI 110 ausgebildet sein.
  • Hinsichtlich einer Beschreibung zu Verfahren hierin kann die Struktur 100 auch optional einen verzögernden Implantationsbereich 174 (gestrichelte Linie) innerhalb und/oder unterhalb der HR-Polysiliziumschicht 140 umfassen, insbesondere unterhalb der HR-Polysiliziumschicht 140, innerhalb der HR-Polysiliziumschicht 140 oder sowohl innerhalb als auch unterhalb der HR-Polysiliziumschicht 140. Der verzögernde Implantationsbereich 174 kann einen jeden Dotierstoff aufweisen, der in der Lage ist, die Verarmung anderer Dotierstoffe, wie z. B. Bor (B) im Widerstand 130, über die HR-Polysiliziumschicht 140 hinaus in das Substrat 102 zu verzögern. In einem nicht beschränkenden Beispiel kann der Dotierstoff Kohlenstoff (C) aufweisen.
  • 3 zeigt eine Querschnittsansicht der Struktur 100 gemäß einer anderen Ausführungsform der Erfindung. Die Struktur 100 in 3 ist im Wesentlichen zu der in den 1 und 2 gezeigten Struktur ähnlich, mit der Ausnahme, dass die dotierte vergrabene Polysiliziumschicht 132 und die Kontakte 144, 146 unterschiedlich sind. In 3 umfasst die dotierte vergrabene Polysiliziumschicht 132 ein Paar vertikaler Abschnitte 180, 182, die sich entlang der lateralen Seiten 151 des STI 110 vertikal erstrecken. Das heißt, dass das Material und der Dotierstoff in den vertikalen Abschnitten 180, 182 mit dem der dotierten vergrabenen Polysiliziumschicht 132 übereinstimmen, im Gegensatz zum Material 150 in den 1 und 2, das andere Dotierstoffe umfasst. Auf diese Weise können der Widerstand 130 und die dotierte vergrabene Polysiliziumschicht 132 gemäß der Darstellung in 3 einen U-förmigen Querschnitt aufweisen. Ein jeder Kontakt 144, 146 in 3 kann ein dotiertes Halbleitermaterial 184 umfassen, das mit einer oberen Oberfläche 186 eines entsprechenden vertikalen Abschnitts 180, 182 der dotierten vergrabenen Polysiliziumschicht 132 funktionell gekoppelt ist. Der Dotierstoff im dotierten Halbleitermaterial 184 kann der gleiche sein wie in anderen Bereichen der aktiven Bereiche 120, 122 (in die Seite hinein oder aus der Seite heraus). Jede heute bekannte oder später entwickelte Form von Metallkontakten oder Drähten 160 kann durch die ILD-Schicht 124 hindurch gebildet werden, um die Kontakte 144, 146, insbesondere das dotierte Halbleitermaterial 184, zu vervollständigen.
  • 4 zeigt eine Querschnittsansicht der Struktur 100 gemäß einer weiteren Ausführungsform. Die Struktur 100 in 4 ähnelt im Wesentlichen der in den 1 und 2 gezeigten, mit der Ausnahme, dass sich die dotierte vergrabene Polysiliziumschicht 132 und die Kontakte 144, 146 von der in den 1 und 3 gezeigten Struktur unterscheiden. In 4 erstreckt sich jeder Kontakt 144, 146 durch das STI 110 zu einer oberen Oberfläche 188 der dotierten vergrabenen Polysiliziumschicht 132. Hier können die Kontakte 144, 146 eine jede heute bekannte oder später entwickelte Form von Metallkontakten oder Drähten umfassen (ähnlich wie 160 in anderen Ausführungsformen) und können durch die ILD-Schicht 124 und STI 110 hindurch gebildet werden, um direkt auf der dotierten vergrabenen Polysiliziumschicht 132, insbesondere dem Widerstand 130, zu landen.
  • Wenn das STI 110 beabstandete aktive Bereiche 120, 122 bildet, kann der Abstand zwischen den aktiven Bereichen 120, 122 in den 1-4 den Abstand zwischen den Kontakten 144, 146 einstellen. Auf diese Weise können die Länge des Widerstands 130 und der vom Widerstand 130 bereitgestellte Widerstand weiter eingestellt werden.
  • Mit Bezug auf die 5-13 werden Verfahren zum Bilden der Struktur 100 gemäß verschiedener Ausführungsformen beschrieben. 5 zeigt eine vorläufige Struktur 200 und die Bildung des STI 110 im Substrat 102. Das STI 110 kann ein Paar von beabstandeten aktiven Bereichen 120, 122 isolieren. Das STI 110 kann mit jedem bekannten oder später entwickelten Verfahren gebildet werden, z. B. durch Strukturieren einer Maske (nicht gezeigt), Ätzen von Öffnungen in das Substrat 102, Füllen der Öffnungen mit einem isolierenden Material 114 (wie hier aufgeführt) und Planarisieren. Ein Ätzen bezieht sich im Allgemeinen auf ein Entfernen eines Materials von einem Substrat (oder von auf dem Substrat gebildeten Strukturen) und wird häufig mit einer Maske durchgeführt, so dass das Material von bestimmten Bereichen des Substrats selektiv entfernt werden kann, während das Material in anderen Bereichen des Substrats unberührt bleibt. Es gibt im Allgemeinen zwei Kategorien von Ätzverfahren: (i) Nassätzen und (ii) Trockenätzen. Das Nassätzen wird mit einem Lösungsmittel (z. B. einer Säure) durchgeführt, die so ausgewählt ist, dass es ein bestimmtes Material (z. B. ein Oxid) selektiv auflöst, während ein anderes Material (z. B. Polysilizium) relativ intakt bleibt. Diese Fähigkeit zum selektiven Ätzen von bestimmten Materialien ist für viele Halbleiterherstellungsverfahren von grundlegender Bedeutung. Ein Nassätzen ätzt im Allgemeinen ein homogenes Material (z. B. Oxid) isotrop, aber ein Nassätzen kann auch einkristalline Materialien (z. B. Siliziumwafer) anisotrop ätzen. Das Trockenätzen kann mit Hilfe eines Plasmas durchgeführt werden. Plasmasysteme können durch Einstellen der Plasmaparameter in verschiedenen Modi arbeiten. Beim gewöhnlichen Plasmaätzen werden energiereiche, neutral geladene freie Radikale erzeugt, die an der Oberfläche des Wafers reagieren. Da neutrale Teilchen den Wafer aus allen Winkeln angreifen, ist dieser Prozess isotrop. Beim lonenfräsen oder Sputterätzen wird der Wafer mit energiereichen Edelgasionen beschossen, die sich dem Wafer nur aus einer Richtung nähern, weshalb dieser Prozess stark anisotrop ist. Das reaktive lonenätzen (RIE) arbeitet unter Bedingungen, die zwischen dem Sputter- und dem Plasmaätzen liegen, und kann zur Herstellung tiefer, schmaler Strukturen, wie z. B. Öffnungen/Gräben des STI 110, verwendet werden. Die vorläufige Struktur 200 umfasst auch eine Pad-Nitridschicht 202 über einer Pad-Oxidschicht 204 in den aktiven Bereichen 120, 122, die jeweils mit jedem bekannten oder später entwickelten Verfahren hergestellt werden können.
  • 6-9 zeigen Querschnittsansichten von Schritten zur Bildung der Struktur 100 aus 1. 6 zeigt eine Querschnittsansicht der Struktur nachdem die Pad-Nitridschicht 202 optional entfernt wurde, wobei die Pad-Oxidschicht 204 freigelegt wird. Die Pad-Nitridschicht 202 kann mit jedem geeigneten Ätzverfahren entfernt werden, z. B. mit einem Prozess mit heißem Phosphor. 6 zeigt auch ein optionales Dotieren, um einen verzögernden Implantationsbereich 174 zu bilden, der sich letztlich innerhalb und/oder unterhalb der HR-Polysiliziumschicht 140 befindet. Zur Steuerung der Dotierung kann eine beliebige Form einer Maske 208 gebildet werden. Bei der Maske 208 kann es sich um ein beliebiges Maskenmaterial handeln, das so strukturiert ist, dass es die HR-Polysiliziumschicht 140 bildet, z. B. an der in 6 gezeigten Stelle und möglicherweise in anderen aktiven Bereichen des IC. Der verzögernde Implantationsbereich 174 kann mit jedem heute bekannten oder später entwickelten Dotierungsprozess gebildet werden, z. B. durch eine in-situ-Bildung oder Ionenimplantation. 6 zeigt auch ein Dotieren mit einem edlen Dotierstoff, der eine ungeordnete kristallografische Schicht 210 unter dem STI 110 (und einem Paar beabstandeter aktiver Bereiche 120, 122, sofern vorhanden) bildet. Der edle Dotierstoff kann zum Beispiel Argon (Ar), Neon (Ne), Krypton (Kr), Xenon (Xe), Helium (He) oder eine Kombination davon umfassen. In einer besonderen Ausführungsform wird Argon (Ar) verwendet.
  • 7 zeigt ein Umwandeln der ungeordneten kristallographischen Schicht 210 (6) in eine dotierte vergrabene Polysiliziumschicht 130 unter dem STI 110 und eine HR-Polysiliziumschicht 140 unter der dotierten vergrabenen Polysiliziumschicht 130, insbesondere nach einem Entfernen der Maske 208 unter Verwendung eines geeigneten Veraschungsprozesses. In einer Ausführungsform kann das Umwandeln mittels einem Annealing erfolgen. Das Annealing kann z. B. ein geeignetes schnelles thermisches Verfahren (RTP) sein und eine beliebige Temperatur und/oder Dauer aufweisen, um die gewünschte Tiefe der Schichten 132, 140 zu erreichen. Sofern vorgesehen, kann der verzögernde Implantationsbereich 174 das Ausmaß begrenzen, in dem sich die Schichten 132, 140 in das Substrat 102 erstrecken. Ansonsten können die Dotierstoffkonzentrationen in den Schichten 132, 140 und der Umwandlungsprozess die Dicken der Schichten 132, 140 steuern. Gemäß der Darstellung in 7 entstehen durch die Umwandlung eine HR-Polysiliziumschicht 140, eine dotierte vergrabene Halbleiterschicht 132 und ein (rekristallisiertes) monokristallines Halbleitermaterial 212 über der dotierten vergrabenen Halbleiterschicht 132.
  • 8-9 zeigen Querschnittsansichten eines Bildens von einem Paar von Kontakten 144, 146, die unter Beabstandung an die dotierte vergrabene Polysiliziumschicht 132 funktionell gekoppelt sind. In 8 wird eine Maske 220 gebildet, die die aktiven Bereiche 120, 122 freilegt. 8 zeigt auch ein Einbringen eines Dotierstoffs in das monokristalline Halbleitermaterial 212, um ein dotiertes monokristallines Halbleitermaterial 150 zu bilden, das sich neben dem STI 110 erstreckt. Das heißt, das dotierte einkristalline Halbleitermaterial 150 befindet sich im Substrat 102 und erstreckt sich vertikal entlang der lateralen Seiten 151 des STI 100. Der Dotierstoff kann auf beliebige Weise, z. B. durch Ionenimplantation, eingebracht werden. Die Maske 220 kann mit Hilfe eines geeigneten Veraschungsprozesses entfernt werden. 9 zeigt eine Bildung einer weiteren Maske 222, die die aktiven Bereiche 120, 122 und STI 110 freilegt. Die Maske 222 kann dieselbe sein, die für die Dotierung der Source/Drain-Bereiche (nicht gezeigt) der aktiven Bauelemente in anderen Bereichen des IC verwendet wird. 8 zeigt ein Einbringen eines Dotierstoffs in das monokristalline Halbleitermaterial 212, um die obere Schicht 158 der Kontakte 144, 146 zu bilden, die eine höhere Dotierstoffkonzentration als das dotierte monokristalline Halbleitermaterial 150 aufweist. Die Maske 222 kann mit Hilfe eines geeigneten Veraschungsprozesses entfernt werden und die ILD-Schicht 124 (1) wird über der Struktur gebildet. Gemäß der Darstellung in 1 kann jede heute bekannte oder später entwickelte Form von Metallkontakten oder Drähten 160 durch die ILD-Schicht 124 gebildet werden, um die Kontakte 144, 146 zu vervollständigen.
  • Die 10-12 zeigen Querschnittsansichten zur Bildung der Struktur 100 gemäß der Darstellung in 3. 10 zeigt eine Querschnittsansicht mit einer auf der Struktur ausgebildeten Maske 224, ähnlich der in 5 dargestellten. Im Gegensatz zu den 6-9 verbleibt hier die Pad-Nitrid-Schicht 202 über den aktiven Bereichen 120, 122. Das heißt, dass jeder aktive Bereich 120, 122 während der Dotierung des STI 210 und der beabstandeten aktiven Bereiche 120, 122 mit dem edlen Dotierstoff, wie noch beschrieben wird, eine Nitridschicht 204 darüber umfasst. Die Pad-Nitridschicht 202 verhindert die Rekristallisation der vertikalen Abschnitte 180, 182 (3) neben dem STI 110. Die Maske 224 legt die aktiven Bereiche 120, 122 und STI 110 frei. In diesem Stadium kann mittels Dotierung (z. B. lonenimplantation) ein verzögernder Implantationsbereich 174 gebildet werden, der sich schließlich innerhalb und/oder unterhalb der HR-Polysiliziumschicht 140 befindet. Dieser Prozess ist jedoch in 10 nicht dargestellt, um die Möglichkeit zu verdeutlichen, diesen Schritt auszulassen. Zur Steuerung der Dotierung kann eine beliebige Form einer Maske 224 gebildet werden. Bei der Maske 224 kann es sich um ein beliebiges Maskenmaterial handeln, das so strukturiert ist, dass es die HR-Polysiliziumschicht 140 bildet, z. B. dort, wo sie in 10 gezeigt ist, und möglicherweise in anderen aktiven Bereichen des ICs. 10 zeigt auch ein Dotieren mit einem edlen Dotierstoff, der eine ungeordnete kristallografische Schicht 210 unter dem STI 110 (und einem Paar von beabstandeten aktiven Bereichen 120, 122, sofern vorhanden) bildet. Wie bereits erwähnt, kann das edle Dotiermittel zum Beispiel Argon (Ar), Neon (Ne), Krypton (Kr), Xenon (Xe), Helium (He) oder eine Kombination davon umfassen. In einer besonderen Ausführungsform wird Argon (Ar) verwendet.
  • 11 zeigt ein Umwandeln der ungeordneten kristallographischen Schicht 210 (10) in die dotierte vergrabene Polysiliziumschicht 130 unter dem STI 110 und die HR-Polysiliziumschicht 140 unter der dotierten vergrabenen Polysiliziumschicht 130, insbesondere nach einem Entfernen der Maske 224 (10) unter Verwendung eines geeigneten Veraschungsprozesses. In einer Ausführungsform kann das Umwandeln mittels Annealing erfolgen. Wie bereits erwähnt, kann das Annealing z. B. einen jeden geeigneten schnellen thermischen Prozess (RTP) umfassen und jede beliebige Temperatur und/oder Dauer aufweisen, um die gewünschte Tiefe der Schichten 132, 140 zu erreichen. Falls vorgesehen, kann der verzögernde Implantationsbereich 174 (nicht dargestellt) das Ausmaß begrenzen, in dem sich die Schichten 132, 140 in das Substrat 102 hinein erstrecken. Ansonsten können die Dotierstoffkonzentrationen in den Schichten 132, 140 und der Umwandlungsprozess die Dicken der Schichten 132, 140 steuern. Hier umfasst die dotierte vergrabene Polysiliziumschicht 132 aufgrund des Vorhandenseins der Pad-Nitrid-Schicht 202 vertikale Abschnitte 180, 182, die sich vertikal entlang der lateralen Seiten 152 des STI 110 in jedem aktiven Bereich 120, 122 erstrecken. Daher entstehen durch die Umwandlung eine HR-Polysiliziumschicht 140, eine dotierte vergrabene Halbleiterschicht 132 und vertikale Abschnitte 180, 182 der dotierten vergrabenen Halbleiterschicht 132, die sich entlang der lateralen Seiten 151 der STI 110 erstrecken.
  • 12 zeigt eine Querschnittsansicht eines Entfernens der Pad-Nitrid-Schicht 202, z. B. mit einem Prozess mit heißem Phosphor. 12 zeigt auch ein Bilden eines Paars von Kontakten 144, 146 durch Bildung eines dotierten polykristallinen Halbleitermaterials 184, das mit der oberen Oberfläche 186 des vertikalen Abschnitts 180, 182 der dotierten vergrabenen Polysiliziumschicht 132 in jedem aktiven Bereich 120, 122 funktionell verbunden ist. Insbesondere zeigt 12 ein Bilden einer Maske 230 und ein Einbringen eines Dotierstoffs zur Bildung von des dotierten polykristallinen Halbleitermaterials 184, z. B. mittels lonenimplantation durch die Pad-Oxidschicht 204. 3 zeigt die Struktur 100 nach einem Entfernen der Maske 230 und einem Bilden von Metallkontakten oder Drähten 160 durch die ILD-Schicht 124 und die Pad-Oxid-Schicht 204 (12), um die Kontakte 144, 146 zu vervollständigen, wie hier beschrieben.
  • 13 zeigt eine Querschnittsansicht der Struktur 100 gemäß der Darstellung in 4. Die Ausführungsform aus 4 umfasst keine aktiven Bereiche 120, 122. In diesem Fall kann die Struktur 100 mit weniger Schritten gebildet werden als bei den Ausführungsformen von 1 und 3. 13 zeigt eine optionale Maske 240, die das STI 110 freilegt. In diesem Stadium kann der verzögernde Implantationsbereich 174 (nicht dargestellt) optional mittels Dotieren (z. B. lonenimplantation) gebildet werden. Dieser Prozess ist jedoch in 13 nicht dargestellt, um die Möglichkeit zu verdeutlichen, dass dieser Schritt ausgelassen werden kann. Zur Steuerung der Dotierung kann eine jede Form von Maske 240 gebildet werden. Die Maske 240 kann beispielsweise aus einem beliebigen Maskenmaterial gebildet sein, das so strukturiert ist, dass es die HR-Polysiliziumschicht 140 bildet, z. B. an der in 4 gezeigten Stelle und möglicherweise in anderen aktiven Bereichen des IC. 13 zeigt auch eine Dotierung mit einem edlen Dotierstoff, der eine ungeordnete kristallografische Schicht 210 unter STI 110 bildet. Wie bereits erwähnt, kann der edle Dotierstoff zum Beispiel Argon (Ar), Neon (Ne), Krypton (Kr), Xenon (Xe), Helium (He) oder eine Kombination davon umfassen. In einer besonderen Ausführungsform wird Argon (Ar) verwendet.
  • 4 zeigt eine Umwandlung der ungeordneten kristallographischen Schicht 210 (13) in eine dotierte vergrabene Polysiliziumschicht 130 unter dem STI 110 und eine HR-Polysiliziumschicht 140 unter der dotierten vergrabenen Polysiliziumschicht 130, d. h. nach einem Entfernen der Maske 240 (13) unter Verwendung eines geeigneten Veraschungsprozesses. Wie bereits erwähnt, kann die Umwandlung mittels Annealing erfolgen, wie hier beschrieben. Falls vorgesehen, kann der verzögernde Implantationsbereich 174 (nicht dargestellt) das Ausmaß begrenzen, in dem sich die Schichten 132, 140 in das Substrat 102 hinein erstrecken. Ansonsten können die Dotierstoffkonzentrationen in den Schichten 132, 140 und der Umwandlungsprozess die Dicken der Schichten 132, 140 steuern. Gemäß der Darstellung in 4 umfasst in dieser Ausführungsform ein Bilden des Paars von Kontakten 144, 146 ein Bilden von Metallkontakten oder Drähten 160, die sich durch das STI 110 zur oberen Oberfläche 188 der dotierten vergrabenen Polysiliziumschicht 132 erstrecken. Die Metallkontakte oder -drähte 160 können mit jedem bekannten oder später entwickelten Verfahren zur Herstellung von Kontakten/Drähten hergestellt werden. In einem nicht beschränkenden Beispiel können Kontakte oder Drähte 160 durch Strukturieren einer Maske, Ätzen von Öffnungen bis zur jeweiligen Tiefe und Ausbilden eines Leiters in der/den Öffnung(en) gebildet werden. Der Leiter kann eine Beschrichtung mit einem hochschmelzenden Metall und einen Kontakt oder Draht aus Metall umfassen. Die Beschichtung aus hochschmelzendem Metall (aus Gründen der Übersichtlichkeit nicht bezeichnet) kann z. B. Ruthenium (Ru), Tantal (Ta), Titan (Ti), Wolfram (W), Iridium (Ir), Rhodium (Rh) und Platin (Pt) usw. oder eine Mischung davon aufweisen. Das Kontakt- oder Drahtmetall kann jedes heute bekannte oder später entwickelte Kontakt-/Drahtmetall sein, wie z. B. Kupfer (Cu) oder Wolfram (W), ohne darauf beschränkt zu sein.
  • In einer jeden der hier beschriebenen Ausführungsformen kann der Isolationsring 170 an jeder beliebigen Stelle, z. B. mit dem STI 110, gebildet werden. Wenn der Isolationsring 170 eine Grabenisolation umfasst, kann er in einer im Wesentlichen ähnlichen Weise wie das STI 110 gebildet werden.
  • Ausführungsformen der Erfindung stellen eine Struktur 100 zur Bereitstellung eines Polywiderstands 130 bereit. Gemäß der Darstellung in den 1, 3 und 4 umfasst die Struktur 100 kein Oxid/STI unter dem Widerstand 120, was die Wärmeableitung des Widerstands an das Substrat 102 verbessert. Die Struktur ermöglicht auch eine verbesserte Widerstandsdichte (bei geringerer Fläche), indem sie gestapelte Poly-Widerstände unter STI 110 und über STI 110 zulässt - siehe den zusätzlichen Widerstand 242 über dem STI 110 in 4. Der zusätzliche Widerstand 242 kann in jeder Ausführungsform verwendet werden. Die HR-Polysiliziumschicht 140 stellt einen Isolationsbereich unter dem Polywiderstands 130 bereit, der parasitäre Verluste von aktiven Vorrichtungen zum Substrat 102 verringert. Siehe US-Patent Nr. 10.192.779 . Hier stellt die HR-Polysiliziumschicht 140 auch eine verbesserte Wärmeleitfähigkeit vom Polywiderstand 130 mit reduzierter Substratkopplung und verbesserter Frequenzantwort bereit.
  • Das oben beschriebene Verfahren und die oben beschriebene Struktur werden bei der Herstellung von integrierten Schaltkreisen verwendet. Die daraus resultierenden integrierten Schaltungschips können vom Hersteller als roher Wafer (d. h. als einzelner Wafer mit mehreren ungehausten Chips), als nackter Chip oder in gehauster Form vertrieben werden. Im letzteren Fall wird der Chip in einem Einzelchip-Gehäuse (z. B. einem Kunststoffträger mit Anschlüssen, die an einer Hauptplatine oder einem anderen übergeordneten Träger angebracht sind) oder in einem Multichip-Gehäuse (z. B. einem Keramikträger, der entweder Oberflächenverbindungen und/oder vergrabene Verbindungen aufweist) montiert. In jedem Fall wird der Chip dann mit anderen Chips, diskreten Schaltungselementen und/oder anderen Signalverarbeitungsgeräten als Teil von entweder (a) einem Zwischenprodukt, wie einer Hauptplatine, oder (b) einem Endprodukt integriert. Bei dem Endprodukt kann es sich um jedes beliebige Produkt handeln, das integrierte Schaltkreis-Chips umfasst, angefangen bei Spielzeug und anderen einfachen Anwendungen bis hin zu hochentwickelten Computerprodukten mit einem Bildschirm, einer Tastatur oder einem anderen Eingabegerät und einem Zentralprozessor.
  • Die hier verwendete Terminologie dient nur der Beschreibung von bestimmten Ausführungsformen und ist nicht als die Erfindung beschränkend zu verstehen. Die hier verwendeten Singularformen „ein, eine“ und „der, die, das“ schließen auch die Pluralformen ein, sofern aus dem Kontext nicht eindeutig etwas anderes hervorgeht. Es versteht sich ferner, dass die Begriffe „umfasst“ und/oder „umfassend“, wenn sie in dieser Beschreibung verwendet werden, das Vorhandensein bestimmter Merkmale, ganzer Zahlen, Schritte, Operationen, Elemente und/oder Komponenten spezifizieren, aber das Vorhandensein oder Hinzufügen eines oder mehrerer anderer Merkmale, ganzer Zahlen, Schritte, Operationen, Elemente, Komponenten und/oder Gruppen davon nicht ausschließen. „Optional“ bedeutet, dass das nachfolgend beschriebene Ereignis oder der beschriebene Umstand eintreten oder nicht eintreten kann und dass die Beschreibung Fälle umfasst, in denen das Ereignis eintritt und Fälle umfasst, in denen es nicht eintritt.
  • Eine ungenaue Formulierung, wie sie hier in der Beschreibung und den Ansprüchen verwendet werden, können zur Modifizierung einer jeden quantitativen Darstellung verwendet werden, die zulässigerweise variieren kann, ohne dass dies zu einer Änderung der Grundfunktion führt, auf die sie sich bezieht. Dementsprechend ist ein Wert, der durch einen oder mehrere Begriffe wie „ungefähr“, „annähernd“ und „im Wesentlichen“ modifiziert wird, nicht auf den genau angegebenen Wert beschränkt. Zumindest in einigen Fällen kann die ungenaue Formulierung der Genauigkeit eines Instruments zur Messung des Wertes entsprechen. Hier und in der gesamten Spezifikation und in den Ansprüchen können Bereichsgrenzen kombiniert und/oder ausgetauscht werden; solche Bereiche sind gekennzeichnet und schließen alle darin enthaltenen Unterbereiche ein, es sei denn, aus dem Kontext oder der Sprache geht etwas anderes hervor. Der Begriff „ungefähr“, der auf einen bestimmten Wert eines Bereichs angewandt wird, bezieht sich auf beide Werte und kann, sofern nicht anders von der Präzision des Messgeräts abhängig, +/- 10 % des angegebenen Werts/der angegebenen Werte bedeuten.
  • Die Ausführungsformen sind ausgewählt und beschrieben, um die Prinzipien der Erfindung und die praktische Anwendung bestmöglich zu erläutern und es dem Fachmann zu ermöglichen, die Erfindung für verschiedene Ausführungsformen mit verschiedenen Modifizierungen zu verstehen, die für die jeweilige Anwendung geeignet sind.

Claims (19)

  1. Struktur (100), umfassend: eine STI (110); eine dotierte vergrabene Polysiliziumschicht (132) unter dem STI (110); eine HR-Polysiliziumschicht (140) unter der dotierten vergrabenen Polysiliziumschicht (132); und ein Paar von Kontakten (144, 146), die mit der dotierten vergrabenen Polysiliziumschicht (132) unter Beabstandung funktionell gekoppelt sind, wobei die HR-Polysiliziumschicht einen edlen Dotierstoff umfasst.
  2. Struktur (100) nach Anspruch 1, wobei die dotierte vergrabene Polysiliziumschicht (132) unter dem STI (110) einen Bor-Dotierstoff umfasst.
  3. Struktur (100) nach Anspruch 1, ferner umfassend einen Isolationsring (170), der die dotierte vergrabene Polysiliziumschicht (132) begrenzt.
  4. Struktur (100) nach Anspruch 3, wobei der Isolationsring (170) eine Grabenisolation oder eine dotierte Wanne ist.
  5. Struktur (100) nach Anspruch 1, ferner umfassend einen verzögernden Implantationsbereich (174) unter der HR-Polysiliziumschicht, innerhalb der HR-Polysiliziumschicht oder sowohl innerhalb als auch unter der HR-Polysiliziumschicht.
  6. Struktur (100) nach Anspruch 1, wobei jeder Kontakt (144, 146) ein dotiertes monokristallines Halbleitermaterial (150) umfasst, das sich neben dem STI (110) erstreckt, und jeder Kontakt (144, 146) funktionell mit einem lateralen Ende (152) der dotierten vergrabenen Polysiliziumschicht (132) gekoppelt ist.
  7. Struktur (100) nach Anspruch 6, wobei jeder Kontakt (144, 146) eine obere Oberfläche (154) der HR-Polysiliziumschicht kontaktiert.
  8. Struktur (100) nach Anspruch 1, wobei die dotierte vergrabene Polysiliziumschicht (132) ein Paar vertikaler Abschnitte (180) aufweist, die sich vertikal entlang von lateralen Seiten (151) des STI (110) erstrecken, wobei jeder Kontakt (144, 146) ein dotiertes Halbleitermaterial (184) aufweist, das funktionell mit einer oberen Oberfläche (186) eines entsprechenden vertikalen Abschnitts der dotierten vergrabenen Polysiliziumschicht (132) gekoppelt ist.
  9. Struktur (100) nach Anspruch 1, wobei sich jeder Kontakt (144, 146) durch das STI (110) zu einer oberen Oberfläche der dotierten vergrabenen Polysiliziumschicht (132) erstreckt.
  10. Struktur (100), umfassend: eine STI (110); einen Widerstand (130) mit einer dotierten vergrabenen Polysiliziumschicht (132) unter dem STI (110); eine HR-Polysiliziumschicht (140) unter dem Widerstand (130); und ein Paar von Kontakten (144, 146) die mit dem Widerstand (130) unter Beabstandung funktionell gekoppelt sind, wobei die HR-Polysiliziumschicht einen edlen Dotierstoff umfasst, und wobei die dotierte vergrabene Polysiliziumschicht (132) einen Bor-Dotierstoff umfasst.
  11. Struktur (100) nach Anspruch 10, ferner umfassend einen Isolationsring (170), der die dotierte vergrabene Polysiliziumschicht (132) begrenzt.
  12. Struktur (100) nach Anspruch 10, wobei jeder Kontakt (144, 146) ein dotiertes monokristallines Halbleitermaterial (150) umfasst, das sich neben dem STI (110) erstreckt, und jeder Kontakt (144, 146) mit einem seitlichen Ende (152) der dotierten vergrabenen Polysiliziumschicht (132) funktionell gekoppelt ist, wobei jeder Kontakt (144, 146) eine obere Oberfläche (154) der HR-Polysiliziumschicht kontaktiert.
  13. Struktur nach Anspruch 10, wobei die dotierte vergrabene Polysiliziumschicht (132) ein Paar von vertikalen Abschnitten (180) aufweist, die sich entlang der lateralen Seiten (151) des STI (110) vertikal erstrecken, wobei jeder Kontakt (144, 146) ein dotiertes Halbleitermaterial (184) aufweist, das mit einer oberen Oberfläche (186) eines entsprechenden vertikalen Abschnitts der dotierten vergrabenen Polysiliziumschicht (132) funktionell gekoppelt ist.
  14. Struktur nach Anspruch 10, wobei sich jeder Kontakt (144, 146) durch das STI (110) zu einer oberen Oberfläche der dotierten vergrabenen Polysiliziumschicht (132) erstreckt.
  15. Verfahren, umfassend: ein Bilden einer STI (110) in einem Substrat; ein Dotieren des Substrats mit einem edlen Dotierstoff, wobei eine ungeordnete kristallographische Schicht (210) unter dem STI (110) gebildet wird; ein Umwandeln der ungeordneten kristallographischen Schicht in eine dotierte vergrabene Polysiliziumschicht (132) unter dem STI und eine HR-Polysiliziumschicht (140) unter der dotierten vergrabenen Polysiliziumschicht (132); und ein Bilden eines Paares von Kontakten (144, 146), die mit der dotierten vergrabenen Polysiliziumschicht (132) unter Beabstandung funktionell gekoppelt sind.
  16. Verfahren nach Anspruch 15, ferner umfassend ein Dotieren, um einen verzögernden Implantationsbereich (174) unter der HR-Polysiliziumschicht, innerhalb der HR-Polysiliziumschicht oder sowohl innerhalb als auch unter der HR-Polysiliziumschicht zu bilden.
  17. Verfahren nach Anspruch 16, wobei das Bilden des Paares von Kontakte (144, 146) ein Bilden eines dotierten monokristallinen Halbleitermaterials (150) umfasst, das sich neben dem STI (110) in jedem von einem Paar von aktiven Bereichen, die durch das STI (110) festgelegt sind, erstreckt, und jeder Kontakt (144, 146) mit einem lateralen Ende (152) der dotierten vergrabenen Polysiliziumschicht (132) funktionell gekoppelt ist und jeder Kontakt (144, 146) eine obere Oberfläche dotierte vergrabene Polysiliziumschicht (132) der HR-Polysiliziumschicht kontaktiert.
  18. Verfahren nach Anspruch 15, wobei das STI (110) ein Paar von aktiven Bereichen festlegt und jeder aktive Bereich während des Dotierens des STI (110) mit dem edlen Dotierstoff eine Pad-Nitridschicht (202) darüber umfasst, wobei die dotierte vergrabene Polysiliziumschicht (132) vertikale Abschnitte umfasst, die sich vertikal entlang der lateralen Seiten (151) des STI (110) in jedem aktiven Bereich erstrecken, und wobei das Bilden des Paares von Kontakte (144, 146) ein Bilden eines dotierten polykristallinen Halbleitermaterials umfasst, das mit einer oberen Oberfläche (186) des vertikalen Abschnitts der dotierten vergrabenen Polysiliziumschicht (132) in jedem aktiven Bereich funktionell gekoppelt ist.
  19. Verfahren nach Anspruch 15, wobei das Bilden des Paars von Kontakten (144, 146) ein Bilden von einem Metallkontakt umfasst, der sich durch das STI (110) zu einer oberen Oberfläche der dotierten vergrabenen Polysiliziumschicht (132) erstreckt.
DE102022100207.1A 2021-01-22 2022-01-05 Struktur, die einen Poly-Widerstand unter flacher Grabenisolation und über Polysiliziumschicht mit hohem Widerstand bereitstellt und Verfahren zu deren Herstellung Active DE102022100207B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/155,445 US11664412B2 (en) 2021-01-22 2021-01-22 Structure providing poly-resistor under shallow trench isolation and above high resistivity polysilicon layer
US17/155,445 2021-01-22

Publications (2)

Publication Number Publication Date
DE102022100207A1 DE102022100207A1 (de) 2022-07-28
DE102022100207B4 true DE102022100207B4 (de) 2023-07-27

Family

ID=82320521

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102022100207.1A Active DE102022100207B4 (de) 2021-01-22 2022-01-05 Struktur, die einen Poly-Widerstand unter flacher Grabenisolation und über Polysiliziumschicht mit hohem Widerstand bereitstellt und Verfahren zu deren Herstellung

Country Status (3)

Country Link
US (2) US11664412B2 (de)
CN (1) CN114783942A (de)
DE (1) DE102022100207B4 (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11380669B2 (en) * 2020-06-18 2022-07-05 Micron Technology, Inc. Methods of forming microelectronic devices
US11825658B2 (en) 2020-08-24 2023-11-21 Micron Technology, Inc. Methods of forming microelectronic devices and memory devices

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070194390A1 (en) 2006-02-22 2007-08-23 Chinthakindi Anil K Method of fabricating a precision buried resistor
US20160358905A1 (en) 2015-06-05 2016-12-08 International Business Machines Corporation Compound semiconductor devices having buried resistors formed in buffer layer
US10192779B1 (en) 2018-03-26 2019-01-29 Globalfoundries Inc. Bulk substrates with a self-aligned buried polycrystalline layer

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102008049732B4 (de) 2008-09-30 2011-06-09 Amd Fab 36 Limited Liability Company & Co. Kg Halbleiterbauelement mit vergrabenem Polysiliziumwiderstand sowie Verfahren zu seiner Herstellung
US8377790B2 (en) 2011-01-27 2013-02-19 International Business Machines Corporation Method of fabricating an embedded polysilicon resistor and an embedded eFuse isolated from a substrate
US8735986B2 (en) 2011-12-06 2014-05-27 International Business Machines Corporation Forming structures on resistive substrates
US9673083B2 (en) * 2015-01-29 2017-06-06 Globalfoundries Inc. Methods of forming fin isolation regions on FinFET semiconductor devices by implantation of an oxidation-retarding material
US9716136B1 (en) 2016-03-16 2017-07-25 Globalfoundries Inc. Embedded polysilicon resistors with crystallization barriers
US11296190B2 (en) * 2020-01-15 2022-04-05 Globalfoundries U.S. Inc. Field effect transistors with back gate contact and buried high resistivity layer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070194390A1 (en) 2006-02-22 2007-08-23 Chinthakindi Anil K Method of fabricating a precision buried resistor
US20160358905A1 (en) 2015-06-05 2016-12-08 International Business Machines Corporation Compound semiconductor devices having buried resistors formed in buffer layer
US10192779B1 (en) 2018-03-26 2019-01-29 Globalfoundries Inc. Bulk substrates with a self-aligned buried polycrystalline layer

Also Published As

Publication number Publication date
CN114783942A (zh) 2022-07-22
US20220238631A1 (en) 2022-07-28
US20230223425A1 (en) 2023-07-13
US11664412B2 (en) 2023-05-30
DE102022100207A1 (de) 2022-07-28

Similar Documents

Publication Publication Date Title
DE102017207873B4 (de) Verfahren zum Bilden eines Luftspalts für eine Halbleitervorrichtung
DE102019201354B4 (de) Verfahren für eine Gate-Schnitt-Struktur mit Liner-Abstandshalter
DE102018200041B4 (de) Bildung von Diffusionsunterbrechung nach Bildung von Source/Drain
DE102012219171B4 (de) Verfahren zum Bilden einer dreidimensionalen (3D) integrierten Schaltung und entsprechend gebildete dreidimensionale (3D) integrierte Schaltung
DE102007020258B4 (de) Technik zur Verbesserung des Transistorleitungsverhaltens durch eine transistorspezifische Kontaktgestaltung
DE102017207777A1 (de) Luftspalt über Transistorgate und zugehöriges Verfahren
DE102013108147B4 (de) Verfahren und Struktur für vertikalen Tunnel-Feldeffekttransistor und planare Vorrichtungen
DE102022100207B4 (de) Struktur, die einen Poly-Widerstand unter flacher Grabenisolation und über Polysiliziumschicht mit hohem Widerstand bereitstellt und Verfahren zu deren Herstellung
DE102008054075B4 (de) Halbleiterbauelement mit Abgesenktem Drain- und Sourcebereich in Verbindung mit einem Verfahren zur komplexen Silizidherstellung in Transistoren
DE102010038745B4 (de) Teststruktur für Prüfung von Zwischenschichtdielektrikumshohlräumen und Kontaktwiderstandsmessungen in einem Halbleiterbauelement
DE102009043328B4 (de) Herstellung von Halbleiterwiderständen in einem Halbleiterbauelement mit Metallgatestrukturen durch Erhöhen der Ätzwiderstandsfähigkeit der Widerstände
DE19907070A1 (de) Halbleitervorrichtung und zugehöriges Herstellungsverfahren
DE10324433A1 (de) Verfahren zur Herstellung eines Substratkontakts für ein SOI-Halbleiterbauteil
DE102021106635A1 (de) Feldeffekttransistor (fet)-stapel und verfahren zum bilden von selbigem
DE102011004672B4 (de) SOI-Halbleiterbauelement mit einer Substratdiode mit reduzierter Metallsilizidleckage
DE102015206175A1 (de) Halbleiterbauelement mit Dünnschicht-Widerstand
DE102019219072B4 (de) Dummy-Füllschema zur Verwendung mit passiven Vorrichtungen
DE102008035808B4 (de) Halbleiterbauelement mit einem Silizium/Germanium-Widerstand
DE102022101533B4 (de) Poly - LeiterPolysilizium-Widerstand mit durchgehenden U-förmigen Polysilizium-Widerstandselementen und zugehöriges Verfahren
DE102013209685B4 (de) Verfahren zum Bilden einer planaren Halbleitervorrichtung und planare Halbleitervorrichtung mit Schrägimplantation
DE10319497B4 (de) Verfahren zur Herstellung eines SOI-Feldeffekttransistorelements mit einem ohmschen Substratkontakt
DE102008026214B3 (de) Verringerung der Metallsiliziddiffusion in einem Halbleiterbauelement durch Schützen von Seitenwänden eines aktiven Gebiets
DE102021129111A1 (de) Transistor mit Luftspalt unter Source/Drain-Bereich in Bulk-Halbleitersubstrat
DE102021128632B4 (de) Kondensatorstruktur für integrierte Schaltung und zugehörige Verfahren
DE102021126630A1 (de) Nicht-planare elektrische Sicherung basierend auf silizidiertem Halbleiter

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division