DE102021128632B4 - Kondensatorstruktur für integrierte Schaltung und zugehörige Verfahren - Google Patents

Kondensatorstruktur für integrierte Schaltung und zugehörige Verfahren Download PDF

Info

Publication number
DE102021128632B4
DE102021128632B4 DE102021128632.8A DE102021128632A DE102021128632B4 DE 102021128632 B4 DE102021128632 B4 DE 102021128632B4 DE 102021128632 A DE102021128632 A DE 102021128632A DE 102021128632 B4 DE102021128632 B4 DE 102021128632B4
Authority
DE
Germany
Prior art keywords
ild
capacitor
vertical electrode
top surface
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102021128632.8A
Other languages
English (en)
Other versions
DE102021128632A1 (de
Inventor
Dewei Xu
Sunil K. Singh
Seung-Yeop KOOK
Roderick A. Augur
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries US Inc filed Critical GlobalFoundries US Inc
Publication of DE102021128632A1 publication Critical patent/DE102021128632A1/de
Application granted granted Critical
Publication of DE102021128632B4 publication Critical patent/DE102021128632B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/92Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by patterning layers, e.g. by etching conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7687Thin films associated with contacts of capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verdrahtungsschichtstapel (200) für eine integrierte Schaltung, wobei der Verdrahtungsschichtstapel (200) umfasst:eine erste Verdrahtungsschicht (110) mit einer ersten Mehrzahl von Leitern (120);ein ILD (170) auf einer oberen Oberfläche der ersten Verdrahtungsschicht (110);eine zweite Verdrahtungsschicht (192) auf einer oberen Oberfläche des ILD (170), wobei die zweite Verdrahtungsschicht (192) eine zweite Mehrzahl von Leitern (180) umfasst;mindestens einen Kondensator (190) im ILD (170), wobei der mindestens eine Kondensator (190) umfasst:eine erste vertikale Elektrode (160) auf einer oberen Oberfläche von einem aus der ersten Mehrzahl von Leitern (120),ein Kondensatordielektrikum (162) auf einer oberen Oberfläche der ersten vertikalen Elektrode (160), undeine zweite vertikale Elektrode (168) auf einer oberen Oberfläche des Kondensatordielektrikums (162), wobei sich die zweite vertikale Elektrode (168) vertikal zwischen dem Kondensatordielektrikum (162) und einem aus der zweiten Mehrzahl von Leitern befindet; undmindestens eine Via (182) im ILD (170), die einen aus der ersten Mehrzahl von Leitern (120) mit einem aus der zweiten Mehrzahl von Leitern verbindet, wobei ein Abschnitt des ILD (170) die Via (182) horizontal von dem mindestens einen Kondensator (190) trennt;und ferner umfassend eine Sperrschicht (130) neben der ersten vertikalen Elektrode (160) von dem mindestens einen Kondensator (190) und vertikal zwischen der ersten Verdrahtungsschicht (110) und dem ILD (170).

Description

  • Hintergrund
  • Die vorliegende Erfindung betrifft eine Bildung von Strukturen für integrierte Schaltkreise (IC), insbesondere eine Kondensatorstruktur für einen IC, sowie entsprechende Verfahren zur Bildung der Kondensatorstruktur.
  • Kondensatorstrukturen in einem IC umfassen üblicherweise zwei Metallplatten mit einem Isolator zwischen den Platten. In einer solchen Ausgestaltung nehmen die Platten zumindest eine minimale Oberfläche ein, um die gewünschten Kapazitäten zu erreichen. Ein herkömmlicher Ansatz für die Integration von Kondensatoren in eine integrierte Schaltung besteht darin, quer verlaufende Metallleitungen oder „Finger“ zu bilden, die sich von einem größeren Draht nach außen erstrecken. Die quer verlaufenden Metallleitungen sind mit ähnlichen Metallleitungen eines benachbarten Drahtes verzahnt. Eine solche Ausgestaltung kann jedoch die Herstellbarkeit und die Kapazitätsbereiche einschränken, da die Bauelemente immer kleiner werden. Solche Größenbeschränkungen eines Kondensators sind besonders problematisch, wenn eine Produktspezifikation einen Ultra-Low-Kondensator erfordert, d. h. einen Kondensator mit nicht mehr als etwa 0,5 Femtofarad (fF). Herkömmliche Ansätze zum Erzielen einer extrem niedrigen Kapazität umfassen z. B. die Vergrößerung des Abstands zwischen alternierenden horizontalen Elektroden, um die Kapazitätsdichte in der Kondensatorstruktur zu verringern. Andere Ansätze bestehen darin, größere Kondensatoren in Reihe zu schalten, um die effektive Kapazität zwischen zwei Knotenpunkten zu verringern. Diese und andere Ansätze haben jedoch zu erheblichen Unsicherheiten und Fehlern in der tatsächlichen Kapazität eines Bauelements geführt.
  • Bekannt sind dabei aus DE 10 2011 052 914 A1 , US 2010 / 0 164 063 A1 Kondensatoren und Verfahren zu deren Herstellung mit verschiedenen Elektrodendicken und Elektrodenflächenwiderständen für integrierte Schaltungen. DE 10 2016 100 272 A1 behandelt einen Metallkontaktfleck auf einer obersten Elektrode eines RRAMs und in diesem Kontext auch einen Kondensator mit Interconnect-Struktur. Aus US 6 271 082 B1 ist ein Herstellungsverfahren zu einem Kondensator mit dünner, asymmetrischer Elektrode bekannt.
  • Zusammenfassung
  • Erfindungsgemäß ist eine Vorrichtung nach Anspruch 1 sowie ein Verfahren nach Anspruch 6.
  • Figurenliste
  • Die Ausführungsformen dieser Erfindung sind mit Bezug auf die folgenden Figuren detailliert beschrieben, wobei gleiche Bezugszeichen gleiche Elemente bezeichnen und:
    • 1 eine Querschnittsansicht einer Vorstruktur zeigt, die gemäß Ausführungsformen der Erfindung zu bearbeiten ist.
    • 2 eine Querschnittsansicht einer Bildung einer ersten Öffnung in einem ersten Abschnitt einer dielektrischen Zwischenschicht (ILD) gemäß Ausführungsformen der Erfindung zeigt.
    • 3 eine Querschnittsansicht einer Bildung einer ersten vertikalen Elektrode in der ersten Öffnung gemäß Ausführungsformen der Erfindung zeigt.
    • 4 eine Querschnittsansicht einer Bildung eines Kondensator-Dielektrikums und Elektrodenmaterials gemäß Ausführungsformen der Erfindung zeigt.
    • 5 eine Querschnittsansicht einer Bildung eines Kondensator-Dielektrikums und einer zweiten vertikalen Elektrode gemäß Ausführungsformen der Erfindung zeigt.
    • 6 eine Querschnittsansicht einer Bildung eines zweiten Teils eines ILD gemäß Ausführungsformen der Erfindung zeigt.
    • 7 eine Querschnittsansicht einer Bildung einer zweiten Öffnung in dem zweiten Abschnitt des ILD gemäß Ausführungsformen der Erfindung zeigt.
    • 8 eine Querschnittsansicht einer Bildung einer zweiten Verdrahtungsschicht gemäß Ausführungsformen der Erfindung zeigt.
    • 9 eine erweiterte Querschnittsansicht eines Kondensators und Verdrahtungsebene gemäß Ausführungsformen der Erfindung zeigt.
  • Es wird darauf hingewiesen, dass die Zeichnungen der Erfindung nicht unbedingt maßstabsgetreu sind. Die Zeichnungen sollen nur typische Aspekte der Erfindung darstellen und sind daher nicht als den Umfang der Erfindung beschränkend anzusehen. In den Zeichnungen stehen gleiche Bezugszeichen für gleiche Elemente in den Zeichnungen.
  • Detaillierte Beschreibung
  • In der folgenden Beschreibung wird auf die beiliegenden Zeichnungen Bezug genommen, die einen Teil davon bilden und in denen zur Veranschaulichung bestimmte beispielhafte Ausführungsformen gezeigt sind, in denen die vorliegende Erfindung eingesetzt sein kann. Diese Ausführungsformen sind ausreichend detailliert beschrieben, um dem Fachmann die Ausführung der vorliegenden Erfindung zu ermöglichen, und es können auch andere Ausführungsformen verwendet und Änderungen vorgenommen werden, ohne den Anwendungsbereich der vorliegenden Erfindung zu verlassen. Die folgende Beschreibung ist daher lediglich anschaulich.
  • Ausführungsformen der Erfindung stellen eine Kondensatorstruktur für einen IC und entsprechende Verfahren zur Herstellung der Kondensatorstruktur bereit. Ausführungsformen der Kondensatorstruktur können z. B. eine erste vertikale Elektrode auf einer oberen Oberfläche eines ersten Leiters innerhalb einer ersten Verdrahtungsschicht umfassen. Ein Kondensatordielektrikum kann sich auf einer oberen Oberfläche der ersten vertikalen Elektrode befinden. Eine zweite vertikale Elektrode kann sich auf einer oberen Oberfläche des Kondensatordielektrikums befinden, wodurch die zweite vertikale Elektrode vertikal zwischen dem Kondensatordielektrikum und einem zweiten Leiter angeordnet ist. Eine dielektrische Zwischenschicht (ILD) kann sich neben der ersten vertikalen Elektrode, dem Kondensatordielektrikum und der zweiten vertikalen Elektrode befinden. Das ILD kann sich auch vertikal zwischen dem ersten Leiter und dem zweiten Leiter befinden. Mit den erfindungsgemäßen Verfahren kann die Kondensatorstruktur gebildet werden und optional können auch andere Teile eines ICs gebildet werden, wie z. B. Verdrahtungsebenen oberhalb und unterhalb der Kondensatorstruktur.
  • 1 zeigt eine Querschnittsansicht in der Ebene X-Z einer Vorstruktur 100, die gemäß Ausführungsformen der Erfindung zu bearbeiten ist. Die in 1 gezeigte Vorstruktur 100 stellt einen ersten Satz von Materialien dar, die für die Verwendung mit Ausführungsformen der Erfindung vorgesehen sind, aber es versteht sich, dass Ausführungsformen der Erfindung auf verschiedenen Designs ohne wesentliche Änderungen der verschiedenen hierin erörterten beispielhaften Techniken umgesetzt werden können.
  • Die Vorstruktur 100 kann einen ersten Bereich 102 und einen zweiten Bereich 104 an zwei verschiedenen Stellen in der Ebene X-Z umfassen. Als Beispiel ist der erste Bereich 102 horizontal vom zweiten Bereich 104 durch einen unbestimmten Abstand getrennt, der durch eine gestrichelte Linie bezeichnet ist. In verschiedenen anderen Ausführungsformen kann jeder Bereich 102, 104 direkt aneinander angrenzen, entlang verschiedener Richtungen (z. B. innerhalb der Ebene Y-Z) und/oder in jeder anderen räumlichen Anordnung getrennt sein. Verschiedene Teile der Vorstruktur 100 können auf einer ersten Verdrahtungsebene 110 gebildet werden, die verschiedene isolierende und/oder leitende Materialien umfasst. Die erste Verdrahtungsebene 110 kann auf (d. h. direkt oder indirekt) oder anderweitig über einer Vorrichtungsschicht angeordnet sein, die elektrische Vorrichtungen wie Transistoren, Dioden, Widerstände, Kondensatoren, Induktoren usw. umfasst, um Betriebsmerkmale einer Vorrichtung bereitzustellen. Die Zusammensetzung und Funktion einer Vorrichtungsschicht ist allgemein bekannt und in den begleitenden Figuren nicht dargestellt und wird hier nicht näher erläutert.
  • Die Verdrahtungsebene 110 kann aus isolierenden Materialien gebildet werden, z. B. aus einem oder mehreren dielektrischen Materialien auf Oxidbasis, die geeignet sind, die jeweiligen Bereiche des leitfähigen Materials in der Verdrahtungsebene 110 physikalisch und elektrisch zu trennen. Dielektrische Materialien auf Oxidbasis können auch zur Unterscheidung von anderen dielektrischen Materialien geeignet sein, wie an anderer Stelle hierin beschrieben ist. Dielektrische Materialien können ohne Beschränkung umfassen: mit Kohlenstoff dotierte Siliziumdioxidmaterialien; fluoriertes Silikatglas (FSG); organische polymere duroplastische Materialien; Siliziumoxycarbid; SiCOH-Dielektrika; fluordotiertes Siliziumoxid; Spin-On-Gläser; Silsesquioxane, einschließlich Hydrogensilsesquioxan (HSQ), Methylsilsesquioxan (MSQ) und Mischungen oder Copolymere von HSQ und MSQ; Polymerdielektrika auf Benzocyclobutenbasis (BCB) und jedes siliziumhaltige Low-k-Dielektrikum. Beispiele für aufschleuderbare Low-k-Filme mit SiCOH-artiger Zusammensetzung unter Verwendung der Silsesquioxan-Chemie sind HOSP™ (erhältlich von Honeywell), JSR 5109 und 5108 (erhältlich von Japan Synthetic Rubber), Zirkon™ (erhältlich von Shipley Microelectronics, einer Abteilung von Rohm and Haas) und poröse Low-k-Materialien (erhältlich von Applied Materials). Beispiele für kohlenstoffdotierte Siliziumdioxidmaterialien oder Organosilane sind Black Diamond™ (erhältlich von Applied Materials) und Coral™ (erhältlich von Lam Research). Ein Beispiel für ein HSQ-Material ist FOxTM (erhältlich von Dow Corning).
  • Abschnitte der Verdrahtungsebene 110 können einen ersten Leiter 120 (z. B. einen oder mehrere Metalldrähte) zur elektrischen Kopplung von Abschnitten einer Vorrichtungsschicht mit anderen Verdrahtungsebenen und/oder anderen Abschnitten einer Vorrichtungsschicht umfassen. Der erste Leiter 120 kann jede derzeit bekannte oder später entwickelte leitfähige Substanz aufweisen, die einen leitfähigen Pfad zwischen mehreren elektrisch aktiven Elementen bilden kann. Als Beispiele kann der erste Leiter 120 jedes und/oder alle leitfähigen Materialien wie Kupfer (Cu), Aluminium (AI), Wolfram (W), Kobalt (Co), Titan (Ti) usw. umfassen. Obwohl in den begleitenden Figuren nicht dargestellt, kann eine Sperrschicht auch auf den Seitenwänden des isolierenden Materials neben dem ersten Leiter 120 abgeschieden werden und kann der Bildung des ersten Leiters 120 vorausgehen. Die abgeschiedene Sperrschicht kann jedes heute bekannte oder später entwickelte Sperrschichtmaterial (z. B. eine Beschichtung aus hochschmelzendem Metall) umfassen, einschließlich, aber ohne Beschränkung: Tantalnitrid (TaN) und Tantal; Tantalnitrid, Tantal und Kobalt; und Magnesium (Mn) oder Kombinationen davon. Der erste Leiter 120 kann z. B. durch Abscheidung von leitfähigen Materialien in Gräben der Verdrahtungsebene 110 und/oder durch Strukturieren des Materials der Verdrahtungsebene 110 und/oder des ersten Leiters 120 gebildet werden. Als Beispiel sind drei erste Leiter 120 im ersten Bereich 102 der isolierenden Struktur und ein erster Leiter 120 im zweiten Bereich 104 der vorläufigen Struktur 100 dargestellt, obwohl dies nicht unbedingt in allen Ausgestaltungen der Fall ist. Eine Bildung eines Materials durch „Abscheiden“ oder „Ablagern“ kann im Allgemeinen alle heute bekannten oder später entwickelten Techniken umfassen, die für das abzuscheidende Material geeignet sind, einschließlich, aber ohne Beschränkung, zum Beispiel eine chemische Gasphasenabscheidung (CVD), Niederdruck-CVD (LPCVD), plasmaunterstützte CVD (PECVD), Halbatmosphären-CVD (SACVD) und Hochdichte-Plasma-CVD (HDPCVD), schnelle thermische CVD (RTCVD), Ultrahochvakuum-CVD (UHVCVD), reaktionsbegrenzte CVD (LRPCVD), metallorganische CVD (MOCVD), Sputterabscheidung, lonenstrahlabscheidung, Elektronenstrahlabscheidung, lasergestützte Abscheidung, thermische Oxidation, thermische Nitrierung, Spin-on-Methoden, physikalische Gasphasenabscheidung (PVD), Atomlagenabscheidung (ALD), chemische Oxidation, Molekularstrahlepitaxie (MBE), Beschichtung, Aufdampfen.
  • Die Vorstruktur 100 kann z. B. eine Sperrschicht 130 über der Verdrahtungsebene 110 und dem ersten Leiter 120 umfassen, um darunterliegende Materialien von der Verdrahtungsebene 110 vertikal zu isolieren. Die Sperrschicht 130 kann aus einem oder mehreren elektrisch isolierenden Materialien gebildet sein, die eine besonders hohe Ätzbeständigkeit aufweisen. Die Sperrschicht 130 kann insbesondere als „Ätzstoppschicht“ ausgebildet sein, die verhindert, dass darunterliegende Vorrichtungskomponenten bei der Weiterverarbeitung entfernt oder verändert werden. Die Sperrschicht 130 kann daher z. B. eine sauerstoffdotierte Siliziumkarbidschicht (SiC:O), eine stickstoffdotierte Siliziumkarbidschicht (SiC:N) oder ein anderes Material mit ähnlichen Eigenschaften umfassen. Die Vorstruktur 100 kann auch einen ersten Abschnitt eines ILD 140 (im Folgenden einfach „erster Abschnitt“ genannt) auf der Sperrschicht 130 aufweisen. Der erste Abschnitt 140 kann ein oder mehrere isolierende Materialien umfassen, die in der Verdrahtungsebene 110 bereitgestellt sind, und/oder kann jedes andere derzeit bekannte oder später entwickelte isolierende Material umfassen.
  • In 2 können Ausführungsformen der Erfindung ein Bilden von einer oder mehreren ersten Öffnungen 150 im ersten Abschnitt 140 und im ersten Bereich 102 umfassen, ohne dass eine oder mehrere erste Öffnungen 150 im zweiten Bereich 104 gebildet werden. Ein reaktives lonenätzen (RIE) mit einer Maske 152 auf dem ersten Abschnitt 140 stellt eine geeignete Technik zur Bildung der ersten Öffnung(en) 150 dar. Ein Ätzen bezieht sich im Allgemeinen auf ein Entfernen von Material von einem Substrat (oder von auf dem Substrat gebildeten Strukturen) und wird häufig mit einer Maske 152 durchgeführt, so dass ein Material selektiv von bestimmten Bereichen des Substrats entfernt werden kann, während das Material in anderen Bereichen des Substrats unberührt bleibt. Es gibt im Allgemeinen zwei Kategorien von Ätzverfahren: (i) Nassätzen und (ii) Trockenätzen. Das Nassätzen wird mit einem Lösungsmittel (z. B. einer Säure) durchgeführt, das so gewählt wird, dass es ein bestimmtes Material (z. B. Oxid) selektiv auflöst, während ein anderes Material (z. B. Polysilizium) relativ intakt bleibt. Diese Fähigkeit zum selektiven Ätzen bestimmter Materialien ist für viele Halbleiterherstellungsverfahren von grundlegender Bedeutung. Ein Nassätzen ätzt im Allgemeinen ein homogenes Material (z. B. Oxid) isotrop, aber ein Nassätzen kann auch einkristalline Materialien (z. B. Siliziumwafer) anisotrop ätzen. Das Trockenätzen kann mit Hilfe eines Plasmas durchgeführt werden. Plasmasysteme können durch Einstellung der Plasmaparameter in verschiedenen Modi arbeiten. Beim gewöhnlichen Plasmaätzen werden energiereiche, neutral geladene freie Radikale erzeugt, die an der Oberfläche des Wafers reagieren. Da neutrale Teilchen den Wafer aus allen Winkeln angreifen, ist dieser Prozess isotrop. Beim lonenfräsen oder Sputterätzen wird der Wafer mit energiereichen Edelgasionen beschossen, die sich dem Wafer nur aus einer Richtung nähern, weshalb dieser Prozess stark anisotrop ist. Das reaktive lonenätzen (RIE) arbeitet unter Bedingungen, die zwischen dem Sputter- und dem Plasmaätzen liegen, und kann zur Herstellung tiefer, schmaler Strukturen verwendet werden, die für die Herstellung ähnlicher Elemente geeignet sind, z. B. für vertikale Elektroden, wie hier beschrieben sind. Bei der Herstellung der ersten Öffnung(en) 150 können auch darunterliegende Teile der Sperrschicht 130 entfernt werden, um die obere Oberfläche J des/der ersten Leiter(s) 120 freizulegen.
  • Mit Bezug auf 3 umfassen Ausführungsformen der Erfindung ein Bilden einer ersten vertikalen Elektrode auf der oberen Oberfläche J (2) des ersten Leiters oder der ersten Leiter 120. Die erste vertikale Elektrode 160 kann aus jedem derzeit bekannten oder später entwickelten Material gebildet sein, das für die Verwendung als Kondensatorelektrode geeignet ist, z. B. Aluminium (Al), Tantal (Ta), Silber (Ag), ein oder mehrere Metalle, die hierin in Bezug auf den/die ersten Leiter 120 beschrieben sind, und/oder andere leitfähige Materialien. Die erste vertikale Elektrode 160 kann durch Abscheiden gebildet werden, um die erste(n) Öffnung(en) 150 teilweise oder vollständig auszufüllen (2). Wenn mehrere erste Öffnungen 150 gebildet wurden, kann/können die erste(n) vertikale(n) Elektrode(n) 160 durch Abscheiden und anschließendes Ätzen, Planarisieren usw. gebildet werden, wodurch die obere Oberfläche des ersten Teils 140 koplanar mit der/den oberen Oberfläche(n) L der ersten vertikalen Elektrode(n) 160 ist. Die Maske 152 (2) kann auch durch ein beliebiges geeignetes Verfahren (z. B. Abziehen) vor, während oder nach der Herstellung der ersten vertikalen Elektrode(n) 160 entfernt werden.
  • Mit weiterem Bezug auf 4 können Ausführungsformen der Erfindung ein Bilden von anderen Materialien für eine Kondensatorstruktur umfassen, die innerhalb des ILD-Materials eines IC (z.B. über dem ersten Abschnitt 140) angeordnet werden. Die Bildung solcher Materialien kann z. B. ein Bilden einer dielektrischen Kondensatorschicht 162 und einer Elektrodenschicht 164 auf der oberen Oberfläche L der ersten vertikalen Elektrode(n) 160 zusätzlich zu den freiliegenden Abschnitten des ersten Abschnitts 140 umfassen. Im Falle einer Abscheidung kann die dielektrische Kondensatorschicht 162 auf dem ersten Bereich 102 und dem zweiten Bereich 104 nicht-selektiv gebildet werden. In diesem Fall kann die Elektrodenschicht 164 auch die gesamte dielektrische Kondensatorschicht 162 im ersten Bereich 102 und im zweiten Bereich 104 bedecken. Die dielektrische Schicht 162 des Kondensators kann eine andere Materialzusammensetzung haben als der erste Abschnitt 140 und kann so gewählt werden, dass sie eine höhere Dielektrizitätskonstante hat als das isolierende Material des ersten Abschnitts 140. Einem Beispiel zufolge kann die dielektrische Schicht 162 des Kondensators ein oder mehrere dielektrische Materialien auf Oxidbasis (z. B. HfO2) und/oder ein dielektrisches Material auf Nitridbasis, z. B. Siliziumnitrid (SiN), umfassen, während der erste Abschnitt 140 ein oder mehrere dielektrische Materialien auf Oxidbasis, z. B. Siliziumdioxid (SiO2), umfassen kann. In weiteren Ausführungsformen kann die dielektrische Schicht 162 des Kondensators ein beliebiges dielektrisches Material mit einer höheren Dielektrizitätskonstante als die des ersten Abschnitts 140 aufweisen. Gemäß einem Ausführungsbeispiel kann die dielektrische Schicht 162 des Kondensators mit einer vertikalen Dicke T zwischen etwa fünf Nanometern (nm) und etwa fünfzehn Nanometern oberhalb der ersten vertikalen Elektrode(n) 160 ausgebildet sein. Die Elektrodenschicht 164 kann die gleiche oder eine ähnliche Zusammensetzung wie die erste vertikale Elektrode 160 aufweisen und kann auf der dielektrischen Kondensatorschicht 162 in jeder gewünschten vertikalen Dicke ausgebildet sein.
  • Mit weiterem Bezug auf 5 kann die weitere Verarbeitung ein Verwenden der dielektrischen Kondensatorschicht 162 (4) und der Elektrodenschicht 164 (4) umfassen, um die übrigen Komponenten eines Kondensators an den gewünschten Stellen im ersten Bereich 102 zu bilden. Beispielsweise kann wenigstens eine Maske 165 auf Abschnitten der Elektrodenschicht 164 (4) gebildet werden, die sich vertikal über der/den ersten vertikalen Elektrode(n) 160 befindet. Nach Anbringung der wenigstens einen Maske 165 können freiliegende Abschnitte der dielektrischen Schicht und der Elektrodenschicht 164 im ersten Bereich 102 und im zweiten Bereich 104 entfernt werden (z. B. durch Ätzen). Die verbleibenden Abschnitte der Materialien können einen Satz von Kondensatordielektrika 166 bilden, die sich jeweils auf der oberen Oberfläche L (3) der ersten vertikalen Elektrode 160 darunter befinden. In ähnlicher Weise können die verbleibenden Abschnitte der Elektrodenschicht 164 einen Satz von zweiten vertikalen Elektroden 168 bilden, die sich jeweils auf der oberen Oberfläche des darunter befindlichen Kondensatordielektrikums 166 befinden. Die Höhe der zweiten vertikalen Elektrode(n) 168 über dem Kondensatordielektrikum 166 kann sich von der Höhe der ersten vertikalen Elektrode 160 über dem ersten Leiter 120 unterscheiden. In einigen Fällen kann die erste vertikale Elektrode 160 entlang der Z-Achse wesentlich höher sein als die zweite vertikale Elektrode 166, aber dies ist nicht unbedingt in allen Ausführungen der Fall.
  • Die Bildung des Kondensatordielektrikums 166, z. B. durch Abscheiden der dielektrischen Kondensatorschicht 162 vor einem Ätzen des/der aufgebrachten Materials/Materialien aus den Nicht-Kondensatorbereichen einer Vorrichtung, kann eine bessere Steuerung der Größe des Kondensatordielektrikums 166 ermöglichen. Dieser Ansatz ist jedoch nicht unbedingt in allen Ausgestaltungen erforderlich. Das Kondensatordielektrikum 166 und die zweite vertikale Elektrode 168 können durch ein beliebiges Verfahren zum selektiven Bilden solcher Materialien auf der ersten vertikalen Elektrode 160 gebildet werden, z. B. durch Bilden eines Materials, Bilden von Öffnungen über der/den ersten vertikalen Elektrode(n) 160 und Bilden des Kondensatordielektrikums 166 und der zweiten vertikalen Elektrode 168 in der/den Öffnung(en). In diesem Fall könnte die Größe des Kondensatordielektrikums 166 durch Ätzen und nicht durch Abscheiden gesteuert werden. In weiteren Varianten kann die Größe des Kondensatordielektrikums 166 durch andere Verfahren bestimmt werden. Unabhängig von dem (den) Verfahren, das (die) zur Bildung des Kondensatordielektrikums und/oder der vertikalen Elektrode 168 verwendet wird (werden), kann das Kondensatordielektrikum 166 eine Dicke T von etwa fünf bis etwa fünfzehn nm beibehalten, wie an anderer Stelle hierin beschrieben ist. Die Maske(n) 165 kann/können gegebenenfalls entfernt werden, z. B. durch Ablösen und/oder ein anderes geeignetes Verfahren zum Entfernen von Maskierungsmaterialien von einer Struktur.
  • Mit Bezug auf 6 können Ausführungsformen der Erfindung ein Bilden von verbleibenden Abschnitten des ILD-Materials für Verdrahtungsebenen eines ICs umfassen, ohne dass wesentliche Unterschiede zur herkömmlichen Verarbeitung bestehen. Beispielsweise zeigt 6 ein Bilden eines ILD 170 durch Bilden eines zweiten Abschnitts des ILD-Materials (im Folgenden einfach „zweiter Abschnitt“ genannt) 172 auf dem ersten Abschnitt 140, im Kondensatorbereich 104 und im zweiten Bereich 104. Der zweite Abschnitt 172 kann auch auf und neben dem Kondensatordielektrikum 166 und der zweiten vertikalen Elektrode 168 im ersten Bereich 102 gebildet werden. Der zweite Abschnitt 172 kann aus demselben oder einem ähnlichen Material gebildet sein wie der erste Abschnitt 140. In Fällen, in denen der zweite Abschnitt 172 die gleiche Zusammensetzung wie der erste Abschnitt 140 aufweist, kann es keine sichtbare Grenzfläche zwischen dem ersten Abschnitt 140 und dem zweiten Abschnitt 172 des ILD 170 geben. Die vertikale Grenzfläche zwischen den Abschnitten 140 und 172 ist durch gestrichelte Linien dargestellt, um anzuzeigen, dass jeder Abschnitt 140 und 172 einen Abschnitt eines ILD 170 darstellt.
  • Mit Bezug auf 7 kann eine weitere Verarbeitung ein Bilden einer Verdrahtungsmaske 173 auf Abschnitten des ILD 170 umfassen, um Abschnitte des ersten Bereichs 102 und des zweiten Bereichs 104 für eine nachfolgende Metallabscheidung zu bestimmen. Unter Anordnung der Maske 173 auf ausgewählten Abschnitten des ILD 170 können die erfindungsgemäßen Verfahren ein Bilden einer Reihe von zweiten Öffnungen 174 im zweiten Abschnitt 172 umfassen. Zweite Öffnungen 174 können gebildet werden, um eine obere Oberfläche M der zweiten vertikalen Elektrode(n) 168 im ersten Bereich 102 freizulegen. Die zweite(n) Öffnung(en) 174 im Verdrahtungsbereich 104 kann/können sich in ähnlicher Weise nur teilweise in das ILD 170 erstrecken. Die zweite(n) Öffnung(en) 174 im zweiten Bereich 104 kann (können) zur Bildung von Metalldrähten verwendet werden, von denen sich einige quer (d. h. in die Ebene der Seite hinein oder aus ihr heraus) und/oder seitlich (z. B. horizontal entlang der X- oder Y-Achse) an anderen Stellen in Bezug auf andere leitende Elemente erstrecken können. In einigen Fällen kann eine dritte Öffnung 176 im Verdrahtungsbereich 104 gebildet werden, indem Abschnitte des ILD 170 entfernt werden, um den ersten Leiter 120 darunter freizulegen. Die dritte Öffnung 176 kann gebildet werden, um eine Via-Struktur zur vertikalen Kopplung des ersten Leiters 120 mit darüber liegenden leitfähigen Materialien zu schaffen, wie an anderer Stelle hierin beschrieben ist. Die dritte(n) Öffnung(en) 176 kann (können) tiefer sein als die zweite(n) Öffnung(en) 176, z. B. indem sie mit Hilfe einer zusätzlichen Maske (nicht gezeigt) vor oder nach der Bildung der zweiten Öffnung(en) 174 gebildet wird (werden). Nachdem die zweite(n) Öffnung(en) 174 und die dritte(n) Öffnung(en) 176 gebildet wurden, kann die Maske 173 durch Ablösen und/oder ein anderes Verfahren zur Entfernung von Maskierungsmaterialien von einer Struktur entfernt werden.
  • Mit Bezug auf 8 kann eine weitere Verarbeitung ein Bilden der leitfähigen Materialien für eine darüber liegende Verdrahtungsschicht über der Verdrahtungsschicht 110 umfassen. Das Bilden solcher Materialien kann z.B. ein Abscheiden eines zweiten Leiters 180 innerhalb der zweiten Öffnung(en) 174 (7) umfassen. Der zweite Leiter 180 kann ein beliebiges derzeit bekanntes oder später entwickeltes leitfähiges Material umfassen, z. B. eines der hier in Bezug auf den ersten Leiter 120 diskutierten Materialien. Zusätzlich zur Bildung des zweiten Leiters 180 können Abschnitte des leitfähigen Materials zumindest teilweise die dritte Öffnung 176 (7) im zweiten Bereich 104 ausfüllen, um z. B. eine Via 182 auf dem ersten Leiter 120 und einen Metalldraht 184 auf der Via 182 zu bilden. Die Bildung des zweiten Leiters 180, der Via 182 und/oder des Metalldrahtes 184 kann z. B. durch Abscheiden eines leitfähigen Materials und ein anschließendes Planarisieren erfolgen. In Fällen, in denen die dritte(n) Öffnung(en) 176 zuvor im ILD 170 gebildet wurde(n), kann der Metalldraht 184 eine Breite aufweisen, die aufgrund der Gestalt der dritten Öffnung(en) 176 größer ist als die des Durchgangs 182. Obwohl in den begleitenden Figuren nicht dargestellt, kann eine Sperrschicht auch auf den Seitenwänden der Öffnung(en) 174, 176 im ILD 170 abgeschieden werden und kann einer Bildung des zweiten Leiters 180, des Durchgangs 182 und/oder des Metalldrahts 184 vorausgehen, z. B. wie an anderer Stelle hierin erwähnt ist. In einigen Fällen können der zweite Leiter 180, die Via 182 und/oder der Metalldraht 184 durch ein Damascene-Verfahren gebildet werden. Das Damascene-Verfahren ist ein Prozess, bei dem ein Verbindungsmuster zunächst lithografisch in einer Schicht aus Dielektrikum festgelegt wird, dann wird ein Metall abgeschieden, um die sich ergebenden Drahtgraben- oder Via-Öffnungen zu füllen, und anschließend wird überschüssiges Metall durch ein chemisch-mechanisches Polieren (Planarisieren) entfernt. Ein ähnliches Verfahren ist das Dual-Damascene-Verfahren, bei dem die Verbindungsmuster vor der Metallabscheidung die Drahtgraben- und Via-Öffnungen gemeinsam festlegen (z. B. wie bei der dritten Öffnung 176). Die leitfähigen Materialien können auch planarisiert werden (z. B. durch ein chemisch-mechanisches Planarisieren (CMP) oder ähnliche Verfahren), so dass sie mit der oberen Oberfläche des ILD 170 koplanar sind.
  • Mit gemeinsamen Bezug auf die 8 und 9, wobei 9 eine erweiterte Ansicht der Elemente im ersten Bereich 102 zeigt, stellen Ausführungsformen der Erfindung einen Kondensator 190 und/oder einen Verdrahtungsschichtstapel 200 für IC-Strukturen bereit. Nachdem der zweite Leiter 180 gebildet wurde, bilden die erste vertikale Elektrode 160, das Kondensatordielektrikum 166 und die zweite vertikale Elektrode 168 einen elektrischen Pfad in Form eines Kondensators 190. Der Kondensator 190 verbindet den ersten Leiter 120 vertikal mit dem zweiten Leiter 180. In einer solchen Konfiguration kann das Kondensatordielektrikum 166 im Wesentlichen vertikal mit der ersten vertikalen Elektrode 160 und der zweiten vertikalen Elektrode 168 ausgerichtet sein, z. B. als Ergebnis der Ätzprozesse und/oder anderer Techniken, die zur Bildung der ersten vertikalen Elektrode 160, des Kondensatordielektrikums 166 und/oder der zweiten vertikalen Elektrode 168 verwendet werden. Der Begriff „im Wesentlichen vertikal ausgerichtet“ kann als ein Kondensatordielektrikum 166 mit einer vertikalen Mittelachse festgelegt sein, die ungefähr die gleiche ist wie die Mittelachsen der vertikalen Elektroden 160, 168. Somit kann das Kondensatordielektrikum 166 eine andere Größe, Breite usw. als die vertikalen Elektroden 160, 168 aufweisen, aber dennoch im Wesentlichen vertikal zu den vertikalen Elektroden 160, 168 ausgerichtet bleiben. Das ILD 170 kann den/die Kondensator(en) 190 im ersten Bereich 102 horizontal von der Durchkontaktierung 182 (8) im zweiten Bereich 104 trennen. Der horizontale Abstand zwischen dem/den Kondensator(en) 190 und dem Durchgang 182 kann z. B. mindestens etwa fünfzig Mikrometer (µm) betragen.
  • Im Betrieb können die vertikalen Elektroden 160, 168 entgegengesetzt geladen werden, wenn sie einem elektrischen Potential ausgesetzt sind, z. B. aufgrund der Gegenwart des Kondensatordielektrikums 166. Diese Struktur bewirkt, dass der Kondensator 190 während des Betriebs eine elektrische Ladung speichert, ähnlich wie bei einer herkömmlichen Anordnung mit parallelen Blatten. Der erfindungsgemäße Kondensator 190 kann jedoch einen Kondensator mit niedriger Kapazität darstellen, der in das ILD 170 und über der Verdrahtungsschicht 110 eingebettet ist. Um diese Betriebsmerkmale bereitzustellen, können eine zusätzliche Verdrahtungsschicht 192 und ein Metalldraht 194 über dem ILD 170 gebildet werden, wobei der Metalldraht 194 mit dem zweiten Leiter 180 elektrisch gekoppelt ist. Eine Spannungsquelle 196 (z. B. eine jede denkbare Stromversorgung wie eine Batterie) kann über wenigstens einen Metalldraht 194 und wenigstens einen zweiten Leiter 180 mit der zweiten vertikalen Elektrode 168 des Kondensators 190 verbunden werden. Die erste vertikale Elektrode 160 kann in ähnlicher Weise über den ersten Leiter 120 mit der Masse GND (alternativ mit einem anderen Knoten, der eine andere Spannung als die Spannungsquelle 196 aufweist) elektrisch verbunden sein. Die Spannungsquelle 196 und die Masse GND sind mit schematischen Symbolen dargestellt, um anzuzeigen, dass sie mit dem Kondensator 190 des Verdrahtungsschichtstapels 200 über mehrere dazwischenliegende Leiter, Schichten, elektrische Strukturen usw. gekoppelt sein können. Solche Elemente können über Abschnitte des ersten Leiters 120, des zweiten Leiters 180, des Metalldrahtes 194 usw. gekoppelt sein, die sich in die Ebene der Seite hinein oder aus ihr heraus erstrecken. In weiteren Ausgestaltungen können die Verdrahtungsschicht 110 und/oder die zusätzliche Verdrahtungsschicht 192 zusätzliche Durchkontaktierungen zur Kopplung des ersten Leiters 120 und/oder des Metalldrahtes 194 mit anderen Verdrahtungsschichten im Verdrahtungsschichtstapel 200 umfassen.
  • Ausführungsformen der Erfindung stellen verschiedene technische und kommerzielle Vorteile bereit, von denen einige hier als Beispiele beschrieben sind. Der Kondensator 190 und der Verdrahtungsschichtstapel 200 können ein Kondensatordielektrikum 166 mit einer vertikalen Dicke aufweisen, die durch die Abscheidungseigenschaften (z. B. die Abscheidungszeit) und nicht durch die Ätzeigenschaften bestimmt wird. Die Abscheidung ist leichter zu steuern als ein Ätzen und daher kann die Größe des Kondensatordielektrikums 166 im Gegensatz zu Kondensatoren, die mit herkömmlichen Techniken hergestellt werden, nur wenig variieren. Diese Eigenschaften können wiederum dazu führen, dass sehr niedrige Kapazitäten (z. B. etwa 0,5 fF) mit größerer Konsistenz erreicht werden als bei anderen Arten von Kondensatoren in ICs. Die sich daraus ergebende Abweichungen in der Kapazität von den Entwurfsspezifikationen kann z. B. höchstens etwa einer Abweichung um zehn Prozent von der gewünschten Kapazität im Kondensator 190 betragen. Die erfindungsgemäßen Verfahren lassen sich auch in herkömmliche Prozesse zur Herstellung von metallischen Verdrahtungsschichten eines ICs integrieren, ohne dass die Betriebsmethodik grundlegend geändert und/oder eine erhebliche Anzahl zusätzlicher Schritte hinzugefügt wird.
  • Das oben beschriebene Verfahren wird bei der Herstellung von integrierten Schaltungschips eingesetzt. Die daraus resultierenden integrierten Schaltkreischips können vom Hersteller in der Form von unbehandelten Wafern (d. h. als einzelne Wafer mit mehreren unverpackten Chips), als nackter Chip oder in verpackter Form vertrieben werden. Im letzteren Fall wird der Chip in ein Einzelchip-Gehäuse (z. B. einen Kunststoffträger mit Anschlüssen, die an einer Hauptplatine oder einem anderen übergeordneten Träger befestigt sind) oder in ein Multichip-Gehäuse (z. B. einen Keramikträger mit Oberflächenverbindungen und/oder vergrabenen Verbindungen) eingebaut. In jedem Fall wird der Chip dann mit anderen Chips, diskreten Schaltungselementen und/oder anderen Signalverarbeitungsgeräten als Teil von entweder (a) einem Zwischenprodukt, wie einer Hauptplatine, oder (b) einem Endprodukts integriert. Bei dem Endprodukt kann es sich um jedes beliebige Produkt handeln, das integrierte Schaltungschips enthält, angefangen bei Spielzeug und anderen einfachen Anwendungen bis hin zu hochentwickelten Computerprodukten mit einem Bildschirm, einer Tastatur oder einem anderen Eingabegerät und einem Zentralprozessor.
  • Die hier verwendete Terminologie dient nur der Beschreibung von bestimmten Ausführungsformen und ist nicht als Beschränkung der Erfindung zu verstehen. Die hier verwendeten Singularformen „ein, eine“ und „der, die, das“ schließen auch die Pluralformen ein, sofern aus dem Kontext nicht eindeutig etwas anderes hervorgeht. Es versteht sich ferner, dass die Begriffe „umfasst“ und/oder „umfassend“, wenn sie in dieser Beschreibung verwendet werden, das Vorhandensein bestimmter Merkmale, ganzer Zahlen, Schritte, Operationen, Elemente und/oder Komponenten spezifizieren, aber das Vorhandensein oder Hinzufügen eines oder mehrerer anderer Merkmale, ganzer Zahlen, Schritte, Operationen, Elemente, Komponenten und/oder Gruppen davon nicht ausschließen. „Optional“ bedeutet, dass das nachfolgend beschriebene Ereignis oder der beschriebene Umstand eintreten oder nicht eintreten kann und dass die Beschreibung Fälle umfasst, in denen das Ereignis eintritt, und Fälle umfasst, in denen es nicht eintritt.
  • Der Begriff „ungefähr“, der auf einen bestimmten Wert eines Bereichs angewandt wird, bezieht sich auf beide Werte und kann, sofern nicht anders von der Genauigkeit des Messgeräts abhängig, +/- 10 % des angegebenen Werts/der angegebenen Werte bedeuten.

Claims (10)

  1. Verdrahtungsschichtstapel (200) für eine integrierte Schaltung, wobei der Verdrahtungsschichtstapel (200) umfasst: eine erste Verdrahtungsschicht (110) mit einer ersten Mehrzahl von Leitern (120); ein ILD (170) auf einer oberen Oberfläche der ersten Verdrahtungsschicht (110); eine zweite Verdrahtungsschicht (192) auf einer oberen Oberfläche des ILD (170), wobei die zweite Verdrahtungsschicht (192) eine zweite Mehrzahl von Leitern (180) umfasst; mindestens einen Kondensator (190) im ILD (170), wobei der mindestens eine Kondensator (190) umfasst: eine erste vertikale Elektrode (160) auf einer oberen Oberfläche von einem aus der ersten Mehrzahl von Leitern (120), ein Kondensatordielektrikum (162) auf einer oberen Oberfläche der ersten vertikalen Elektrode (160), und eine zweite vertikale Elektrode (168) auf einer oberen Oberfläche des Kondensatordielektrikums (162), wobei sich die zweite vertikale Elektrode (168) vertikal zwischen dem Kondensatordielektrikum (162) und einem aus der zweiten Mehrzahl von Leitern befindet; und mindestens eine Via (182) im ILD (170), die einen aus der ersten Mehrzahl von Leitern (120) mit einem aus der zweiten Mehrzahl von Leitern verbindet, wobei ein Abschnitt des ILD (170) die Via (182) horizontal von dem mindestens einen Kondensator (190) trennt; und ferner umfassend eine Sperrschicht (130) neben der ersten vertikalen Elektrode (160) von dem mindestens einen Kondensator (190) und vertikal zwischen der ersten Verdrahtungsschicht (110) und dem ILD (170).
  2. Verdrahtungsschichtstapel (200) nach Anspruch 1, wobei eine vertikale Dicke des Kondensatordielektrikums (162) zwischen der ersten vertikalen Elektrode (160) und der zweiten vertikalen Elektrode (168) zwischen etwa 5 nm und etwa 15 nm liegt.
  3. Verdrahtungsschichtstapel (200) nach Anspruch 1, wobei das ILD (170) ein dielektrisches Oxidmaterial umfasst und wobei das Kondensatordielektrikum (162) ein dielektrisches Nitridmaterial umfasst.
  4. Verdrahtungsschichtstapel (200) nach Anspruch 1, wobei das Kondensatordielektrikum (162) zu der ersten vertikalen Elektrode (160) und der zweiten vertikalen Elektrode (168) im Wesentlichen vertikal ausgerichtet ist.
  5. Verdrahtungsschichtstapel (200) nach Anspruch 1, wobei eine Höhe des mindestens einen Kondensators (190) im Wesentlichen gleich einer Höhe der mindestens einen Via (182) ist.
  6. Verfahren zum Bilden eines Kondensators (190) für eine integrierte Schaltung, wobei das Verfahren umfasst: ein Bilden einer Sperrschicht (130) auf einer oberen Oberfläche einer Verdrahtungsschicht (110), wobei die Verdrahtungsschicht (110) einen ersten Leiter (120) umfasst; und ein Bilden eines ersten Abschnitts (140) eines ILD (170) auf einer oberen Oberfläche der Sperrschicht (130), ein Bilden einer ersten Öffnung (150) innerhalb des ersten Abschnitts (140) des ILD (170), um den ersten Leiter (120) unterhalb des ersten Abschnitts (140) des ILD (170) freizulegen, wobei das Bilden der ersten Öffnung (150) innerhalb des ersten Abschnitts (140) des ILD (170) ferner ein Bilden der ersten Öffnung (150) durch die Sperrschicht (130) hindurch umfasst; ein Bilden einer ersten vertikalen Elektrode (160) auf einer oberen Oberfläche des ersten Leiters (120) und im ersten Abschnitt des ILD (170), um die erste Öffnung (150) zu füllen; ein Bilden eines Kondensatordielektrikums (162) auf einer oberen Oberfläche der ersten vertikalen Elektrode (160); ein Bilden einer zweiten vertikalen Elektrode (168) auf einer oberen Oberfläche des Kondensatordielektrikums (162); und ein Bilden eines zweiten Abschnitts des ILD (170) auf einer oberen Oberfläche des ersten Abschnitts (140) des ILD (170) neben dem Kondensatordielektrikum (162) und der zweiten vertikalen Elektrode (168).
  7. Verfahren nach Anspruch 6, wobei das Bilden des zweiten Abschnitts des ILD (170) bewirkt, dass eine obere Oberfläche des ILD (170) zu einer oberen Oberfläche der zweiten vertikalen Elektrode (168) im Wesentlichen koplanar ist.
  8. Verfahren nach Anspruch 6, wobei das Bilden des Kondensatordielektrikums (162) ein Bilden eines dielektrischen Nitridmaterials auf der oberen Oberfläche der ersten vertikalen Elektrode (160) bis zu einer Dicke zwischen etwa 5 nm und etwa 15 nm umfasst.
  9. Verfahren nach Anspruch 6, ferner umfassend ein Bilden eines zweiten Leiters auf einer oberen Oberfläche der zweiten vertikalen Elektrode (168) und über dem zweiten Abschnitt des ILD (170), wobei der erste Leiter mit dem zweiten Leiter über einen Kondensator (190) gekoppelt ist, der die erste vertikale Elektrode (160), das Kondensatordielektrikum (162) und die zweite vertikale Elektrode (168) umfasst.
  10. Verfahren nach Anspruch 6, ferner umfassend ein Bilden einer Via (182) im ersten Abschnitt des ILD (170) und im zweiten Abschnitt des ILD (170), wobei sich das ILD (170) horizontal zwischen der Via (182) und einer jeden aus der ersten vertikalen Elektrode (160), dem Kondensatordielektrikum (162) und der zweiten vertikalen Elektrode (168) befindet.
DE102021128632.8A 2020-11-05 2021-11-03 Kondensatorstruktur für integrierte Schaltung und zugehörige Verfahren Active DE102021128632B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/089,775 2020-11-05
US17/089,775 US11348867B2 (en) 2020-11-05 2020-11-05 Capacitor structure for integrated circuit and related methods

Publications (2)

Publication Number Publication Date
DE102021128632A1 DE102021128632A1 (de) 2022-05-05
DE102021128632B4 true DE102021128632B4 (de) 2023-06-22

Family

ID=81184211

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021128632.8A Active DE102021128632B4 (de) 2020-11-05 2021-11-03 Kondensatorstruktur für integrierte Schaltung und zugehörige Verfahren

Country Status (3)

Country Link
US (1) US11348867B2 (de)
CN (1) CN114446930A (de)
DE (1) DE102021128632B4 (de)

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6271082B1 (en) 2000-03-17 2001-08-07 United Microelectronics Corp. Method of fabricating a mixed circuit capacitor
US20040087101A1 (en) 2002-11-02 2004-05-06 Chartered Semiconductor Manufacturing Ltd. High K artificial lattices for capacitor applications to use in CU or AL BEOL
US20050110143A1 (en) 2003-11-21 2005-05-26 Jong-Seon Ahn Capacitor of semiconductor device applying damascene process and method of fabricating the same
US20100164063A1 (en) 2008-12-30 2010-07-01 Jong-Yong Yun Mim capacitor and method for fabricating the same
DE102011052914A1 (de) 2010-09-06 2012-03-08 Infineon Technologies Ag Kondensator und Verfahren zu dessen Herstellung
US20140159200A1 (en) 2012-12-08 2014-06-12 Alvin Leng Sun Loke High-density stacked planar metal-insulator-metal capacitor structure and method for manufacturing same
DE102016100272A1 (de) 2015-10-27 2017-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metallkontaktfleck auf einer obersten elektrode eines rram
US20200058731A1 (en) 2018-08-14 2020-02-20 Samsung Electronics Co., Ltd. Semiconductor device

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6061508A (en) 1997-07-03 2000-05-09 International Business Machines Corporation Modeling and processing of on-chip interconnect capacitance
US5999010A (en) 1997-12-08 1999-12-07 Simplex Solutions, Inc. Method of measuring interconnect coupling capacitance in an IC chip
US6885056B1 (en) 2003-10-22 2005-04-26 Newport Fab, Llc High-k dielectric stack in a MIM capacitor and method for its fabrication
US7900164B1 (en) 2004-01-16 2011-03-01 Alters Corporation Structure to measure both interconnect resistance and capacitance
US7038266B2 (en) * 2004-03-01 2006-05-02 Taiwan Semiconductor Manufacturing Co Ltd Metal-insulator-metal (MIM) capacitor structure formed with dual damascene structure
US7089516B2 (en) 2004-03-22 2006-08-08 Cadence Design Systems, Inc. Measurement of integrated circuit interconnect process parameters
JP2007201101A (ja) * 2006-01-25 2007-08-09 Nec Electronics Corp 集積回路装置および回路製造方法
CN101978800A (zh) 2008-03-24 2011-02-16 日本特殊陶业株式会社 部件内置布线基板
US7538006B1 (en) 2008-05-24 2009-05-26 International Business Machines Corporation Annular damascene vertical natural capacitor
US8604531B2 (en) * 2010-10-15 2013-12-10 Taiwan Semiconductor Manufacturing Company Method and apparatus for improving capacitor capacitance and compatibility
JP5569354B2 (ja) 2010-11-17 2014-08-13 富士通セミコンダクター株式会社 キャパシタおよび半導体装置
US9698213B1 (en) 2016-09-28 2017-07-04 International Business Machines Corporation Vertical MIM capacitor
EP3549232A1 (de) 2016-12-02 2019-10-09 Carver Scientific, Inc. Speichervorrichtung und kapazitive energiespeichervorrichtung

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6271082B1 (en) 2000-03-17 2001-08-07 United Microelectronics Corp. Method of fabricating a mixed circuit capacitor
US20040087101A1 (en) 2002-11-02 2004-05-06 Chartered Semiconductor Manufacturing Ltd. High K artificial lattices for capacitor applications to use in CU or AL BEOL
US20050110143A1 (en) 2003-11-21 2005-05-26 Jong-Seon Ahn Capacitor of semiconductor device applying damascene process and method of fabricating the same
US20100164063A1 (en) 2008-12-30 2010-07-01 Jong-Yong Yun Mim capacitor and method for fabricating the same
DE102011052914A1 (de) 2010-09-06 2012-03-08 Infineon Technologies Ag Kondensator und Verfahren zu dessen Herstellung
US20140159200A1 (en) 2012-12-08 2014-06-12 Alvin Leng Sun Loke High-density stacked planar metal-insulator-metal capacitor structure and method for manufacturing same
DE102016100272A1 (de) 2015-10-27 2017-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metallkontaktfleck auf einer obersten elektrode eines rram
US20200058731A1 (en) 2018-08-14 2020-02-20 Samsung Electronics Co., Ltd. Semiconductor device

Also Published As

Publication number Publication date
US20220139819A1 (en) 2022-05-05
CN114446930A (zh) 2022-05-06
DE102021128632A1 (de) 2022-05-05
US11348867B2 (en) 2022-05-31

Similar Documents

Publication Publication Date Title
DE102017104622B4 (de) Integrierter Chip und Verfahren zu seiner Herstellung
DE102017207777B4 (de) Luftspalt über Transistorgate und entsprechender RFSOI-Schalter
DE102019201354B4 (de) Verfahren für eine Gate-Schnitt-Struktur mit Liner-Abstandshalter
DE10194958B4 (de) Verfahren zur Herstellung einer Sperr-/ Haftschicht und einer Kristallkeimschicht in einer integrierten Schaltkreisanordnung und zugehörige integrierte Schaltkreisanordnung
DE102010064289B4 (de) Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
DE102005063092B3 (de) Halbleiterbauelement mit einer Kontaktstruktur mit erhöhter Ätzselektivität
DE102005046975A1 (de) Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
DE102011085203B4 (de) Herstellungsverfahren für Halbleiterbauelemente mit Durchgangskontakten
DE102004005697B4 (de) Herstellungsverfahren für eine widerstandsfähige Via-Struktur und zugehörige Via-Struktur
DE10351875A1 (de) Integriertes Schaltkreisbauelement und Halbleiterbauelement mit MIM-Kondensator
DE112004001530B4 (de) Versiegelte Poren in Damascene-Strukturen mit Low-k-Material
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102005057076A1 (de) Technik zum Verbessern der Haftung von Metallisierungsschichten durch Vorsehen von Platzhalterkontaktdurchführungen
DE102010002451A1 (de) Kontaktelemente von Halbleiterbauelementen, die einen kontinuierlichen Übergang zu Metallleitungen einer Metallisierungsschicht besitzen
EP1770726B1 (de) MIM-Kondensator sowie zugehöriges Herstellungsverfahren
DE112019003120T5 (de) Dünnfilmwiderstand in einer integrierten schaltung und herstellungsverfahren dafür
DE112019002455T5 (de) Dünnfilmwiderstand in einer integrierten schaltung und herstellungsverfahren
DE10240405B4 (de) Verfahren zum Ausbilden einer selbstjustierten Antifuse-Verbindung
DE102012105304B4 (de) Vorrichtung zur Belastungsreduzierung
DE102020129523A1 (de) Duale dielektrische schicht für schliessende verbindungsstelle in luftspaltstrukturen
DE102022100207B4 (de) Struktur, die einen Poly-Widerstand unter flacher Grabenisolation und über Polysiliziumschicht mit hohem Widerstand bereitstellt und Verfahren zu deren Herstellung
DE102008044964B4 (de) Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen
DE102004033825A1 (de) Verfahren zur Herstellung einer Kondensatoranordnung sowie zugehörige Kondensatoranordnung
EP1118122B1 (de) Integrierte schaltungsanordnung und verfahren zu deren herstellung
DE102009055433B4 (de) Kontaktelemente von Halbleiterbauelementen, die auf der Grundlage einer teilweise aufgebrachten Aktivierungsschicht hergestellt sind, und entsprechende Herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final