DE102017112746A1 - Verfahren zum Bilden eines Abstandshalters mit niedrigem k-Wert - Google Patents

Verfahren zum Bilden eines Abstandshalters mit niedrigem k-Wert Download PDF

Info

Publication number
DE102017112746A1
DE102017112746A1 DE102017112746.1A DE102017112746A DE102017112746A1 DE 102017112746 A1 DE102017112746 A1 DE 102017112746A1 DE 102017112746 A DE102017112746 A DE 102017112746A DE 102017112746 A1 DE102017112746 A1 DE 102017112746A1
Authority
DE
Germany
Prior art keywords
spacer
gate stack
forming
plasma
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102017112746.1A
Other languages
English (en)
Other versions
DE102017112746B4 (de
Inventor
Hsiu-Yu KANG
Hong-wei Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017112746A1 publication Critical patent/DE102017112746A1/de
Application granted granted Critical
Publication of DE102017112746B4 publication Critical patent/DE102017112746B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

Die vorliegende Offenbarung betrifft das Bilden eines Abstandshalters mit niedrigem k-Wert. Zum Beispiel enthält die vorliegende Offenbarung ein beispielhaftes Verfahren zum Bilden des Abstandshalters mit niedrigem k-Wert. Das Verfahren enthält das Abscheiden des Abstandshalters mit niedrigem k-Wert und das anschließende Behandeln des Abstandshalters mit niedrigem k-Wert mit einem Plasma und/oder einem thermischen Ausheilen. Der Abstandshalter mit niedrigem k-Wert kann auf einer Struktur abgeschieden werden, die von dem Substrat vorsteht. Die Plasma- und/oder thermische Ausheilungsbehandlung auf dem Abstandshalter mit niedrigem k-Wert kann die Ätzraten des Abstandshalters so verringern, dass der Abstandshalter in anschließenden Ätz- oder Reinigungsprozessen weniger geätzt wird.

Description

  • MIT NIEDRIGEM K-WERT
  • HINTERGRUND
  • Im Zuge der Weiterentwicklung der Halbleitertechnologie steigt die Leistung von Halbleiterbauelementen. Zum Beispiel werden Komplementäre-Metall-Oxid-Halbleiter (CMOS)-Transistoren mit jeder neuen Generation der Halbleitertechnologie immer schneller. Eine Möglichkeit, CMOS-Transistoren schneller zu machen, ist die Verringerung der Verzögerung des Bauelements. Zum Beispiel erhöht die Verringerung der Widerstand-Kapazität (Resistance-Capacitance, RC)-Verzögerung die Geschwindigkeit des CMOS-Transistors.
  • Eine Überlegung zum Verringern der RC-Verzögerung eines Transistors ist die Verwendung eines Dielektrikums mit einer niedrigen Dielektrizitätskonstante („k-Wert“). Ein solches Dielektrikum wird oft als ein „Dielektrikum mit niedrigem k-Wert“ bezeichnet. Zum Beispiel kann die Verwendung eines Dielektrikums mit niedrigem k-Wert als ein Abstandshalter, der eine CMOS-Gate-Struktur umgibt, eine Kapazität zwischen dem CMOS-Gate und umgebenden Abschnitten des CMOS-Transistors verringern. Mit der geringen dielektrischen Kapazität kann die RC-Verzögerung des Transistors verringert werden.
  • Figurenliste
  • Aspekte dieser Offenbarung wird am besten anhand der folgenden detaillierten Beschreibung verstanden, wenn sie in Verbindung mit den beiliegenden Figuren gelesen wird. Es ist anzumerken, dass gemäß der gängigen Praxis in der Industrie verschiedene Strukturelemente nicht maßstabsgetreu gezeichnet sind. Die Abmessungen der verschiedenen Strukturelemente können im Interesse der Übersichtlichkeit der Besprechung nach Bedarf vergrößert oder verkleinert werden.
    • 1A bis 1K sind Querschnittsansichten einer Halbleitervorrichtung während der Bildung eines Abstandshalters mit niedrigem k-Wert gemäß einigen Ausfiihrungsformen.
    • 2A zu 2C sind Querschnittsansichten einer Halbleitervorrichtung während der Bildung eines Abstandshalters mit niedrigem k-Wert mit anderen Ätzbeträgen gemäß einigen Ausführungsformen.
    • 3 ist eine Querschnittsansicht einer Halbleitervorrichtung während der Bildung eines Abstandshalters mit niedrigem k-Wert auf einer FinFET-Vorrichtung gemäß einigen Ausführungsformen.
    • 4 ist ein Flussdiagramm eines Verfahrens zum Bilden eines Abstandshalters gemäß einigen Ausführungsformen.
    • 5 ist ein Flussdiagramm eines Verfahrens zum Bilden eines Abstandshalters gemäß einigen Ausführungsformen.
  • Es werden nun veranschaulichende Ausführungsformen mit Bezug auf die beiliegenden Zeichnungen beschrieben. In den Zeichnungen bezeichnen gleiche Bezugszahlen allgemein identische, funktional ähnliche und/oder strukturell ähnliche Elemente.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des hier besprochenen Gegenstandes bereit. Konkrete Ausführungsformen von Komponenten und Anordnungen werden unten beschrieben, um die vorliegende Offenbarung beispielhafte darzulegen. Diese Beispiele sollen nicht einschränkend sein. Außerdem kann die vorliegende Offenbarung Bezugszahlen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung sieht nicht automatisch eine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen vor.
  • Räumlich relative Begriffe, wie zum Beispiel „auf“, „in“, „über“, „unterhalb“, „unter“, „unterer“, „oberhalb“, „oberer“, „oben“, „unten“ und dergleichen können im vorliegenden Text verwendet werden, um die Beschreibung zu vereinfachen, um die Beziehung eines Elements oder Strukturelements zu einem oder mehreren anderen Elementen oder Strukturelementen zu beschreiben, wie in den Figuren veranschaulicht. Die räumlich relativen Begriffe sollen neben der in den Figuren gezeigten Ausrichtung noch weitere Ausrichtungen der Vorrichtung während des Gebrauchs oder Betriebes umfassen. Die Vorrichtung kann auch anders ausgerichtet (90 Grad gedreht oder anders ausgerichtet) sein, und die im vorliegenden Text verwendeten räumlich relativen Deskriptoren können gleichermaßen entsprechend interpretiert werden.
  • Es ist anzumerken, dass Verweise in der Spezifikation auf „eine bestimmte Ausfiihrungsform“, „eine Ausführungsform“, „eine beispielhafte Ausführungsform“, „beispielhaft“ usw. aussagen, dass die beschriebene Ausführungsform ein bestimmtes Merkmal, eine bestimmte Struktur oder eine bestimmte Eigenschaft enthalten kann, dass aber nicht unbedingt jede Ausführungsform das bestimmte Merkmal, die bestimmte Struktur oder die bestimmte Eigenschaft enthält. Darüber hinaus beziehen sich solche Phrasen nicht unbedingt auf die gleiche Ausführungsform. Wenn des Weiteren ein bestimmtes Merkmal, eine bestimmte Struktur oder eine bestimmte Eigenschaft in Verbindung mit einer Ausführungsform beschrieben wird, so liegt es im Rahmen der Möglichkeiten des Fachmanns, ein solches Merkmal, eine solche Struktur oder eine solche Eigenschaft auch in Verbindung mit anderen Ausführungsformen zu realisieren, seien sie nun ausdrücklich beschrieben oder nicht.
  • Es versteht sich, dass die Phraseologie oder Terminologie im vorliegenden Text dem Zweck der Beschreibung und nicht der Einschränkung dient, insofern, als die in der vorliegenden Spezifikation verwendete Terminologie oder Phraseologie durch den einschlägig bewanderten Fachmann im Sinne der im vorliegenden Text enthaltenen Lehren zu interpretieren ist.
  • Der Begriff „etwa“ meint im Sinne des vorliegenden Textes, dass ein Wert einer bestimmten Quantität um ±10 % des Wertes variiert, sofern nicht etwas anderes ausgesagt ist.
  • Im Sinne des vorliegenden Textes meint der Begriff „Ätzrate“ die Abtragsrate eines Materials in bestimmten Ätzchemikalien. Die Abtragsrate kann durch die Menge (zum Beispiel die Dicke) eines Zielmaterials gekennzeichnet sein, die innerhalb eines bestimmten Zeitraums entfernt wird (zum Beispiel eine Minute, eine Sekunde oder eine Stunde). Die Abtragsrate kann auf einem flachen Wafer gemessen werden, auf dessen Oberseite sich keine speziellen Strukturelemente oder Bauelemente befinden, oder auf einem Strukturwafer, auf dem bereits Strukturelemente oder Bauelemente ausgebildet sind. Der Begriff „Nassätzrate“ oder „NÄR“ meint die Ätzrate in einem Flüssigphasenätzmittel. Der Begriff „Trockenätzrate“ meint die Ätzrate in einem Gasphasenätzmittel oder einem Plasmaphasenätzmittel.
  • Im Sinne des vorliegenden Textes beschreibt der Begriff „Substrat“ ein Material, auf das anschließende Materialschichten aufgebracht werden. Das Substrat selbst kann strukturiert sein, und Materialien, die auf das Substrat aufgebracht werden, können ebenfalls strukturiert werden oder können ohne Strukturierung bleiben. Des Weiteren kann ein „Substrat“ ein beliebiges aus einer breiten Palette von Halbleitermaterialien sein, wie zum Beispiel Silizium, Germanium, Galliumarsenid oder Indiumphosphid. Alternativ kann das Substrat elektrisch nichtleitend sein, wie zum Beispiel ein Glas- oder Saphir-Wafer.
  • Im Sinne des vorliegenden Textes meint der Begriff „niedriger k-Wert“ eine niedrige Dielektrizitätskonstante, und der Begriff „hoher k-Wert“ meint eine hohe Dielektrizitätskonstante. Auf dem Gebiet der Halbleiterbauelementstrukturen und - fertigungsprozesse kann ein hoher k-Wert eine Dielektrizitätskonstante meinen, die größer als die Dielektrizitätskonstante von Si02 ist (d. h. größer als 3,9). Ein niedriger k-Wert hingegen kann eine Dielektrizitätskonstante meinen, die kleiner ist als die Dielektrizitätskonstante von SiO2 (d. h. kleiner als 3,9). In der vorliegenden Offenbarung wird der Begriff „niedriger k-Wert“ auch in einer relativen Umgebung verwendet, wenn der k-Wert des Zielmaterials kleiner ist als der eines herkömmlichen Materials.
  • Im Sinne des vorliegenden Textes meint der Begriff „FET“ einen Feldeffekttransistor. Ein Beispiel eines FET ist ein Metall-Oxid Halbleiter-Feldeffekttransistor (MOSFET). MOSFETs können zum Beispiel planare Strukturen sein, die in und auf der planaren Oberfläche eines Substrats, wie zum Beispiel eines Halbleiterwafers, ausgebildet sind, oder in Form vertikalen Strukturen ausgebildet sind.
  • Im Sinne des vorliegenden Textes meint der Begriff „FinFET“ einen FET, der über einer Rippe ausgebildet ist, die mit Bezug auf die planare Oberfläche eines Wafers vertikal ausgerichtet ist. Eine FinFET enthält eine aktive Rippenregion, Source- und Drain-Regionen und eine Gate-Struktur, die über der aktiven Rippenregion ausgebildet ist.
  • Im Sinne des vorliegenden Textes meint der Begriff „vertikal“ nominal senkrecht zur Oberfläche eines Substrats.
  • Im Sinne des vorliegenden Textes meint der Begriff „Gate-Stapel“ einen Stapel von Materialien (zum Beispiel vertikal ausgerichtet), der als eine Gate-Struktur für einen CMOS-Transistor verwendet wird. Der Durchschnittsfachmann versteht, dass ein Gate-Stapel mindestens eine leitende Schicht und eine isolierende Schicht enthält. Der Gate-Stapel kann gemäß dem Design der Vorrichtung strukturiert werden.
  • Im Sinne des vorliegenden Textes meint der Begriff „bilden“ oder „gebildet“ einen Schritt oder mehrere Schritte des Hinzufügens oder Entfernens einer Komponente oder mehrerer Komponenten.
  • 1A bis 1F sind Querschnittsansichten einer Halbleitervorrichtung während der Bildung eines Abstandshalters mit niedrigem k-Wert gemäß einigen Ausfiihrungsformen. Der Abstandshalter mit niedrigem k-Wert wird auf einem Substrat gebildet. Gemäß einigen Ausfiihrungsformen kann das Substrat ein Silizium (Si)-Wafer sein. In einigen Ausführungsformen kann das Substrat mehrere dotierte Regionen haben, wobei jede der dotierten Regionen Dotanden vom n-Typ (zum Beispiel Phosphor (P) oder Arsen (As)), Dotanden vom p-Typ (zum Beispiel Bor (B)) oder andere Dotanden (zum Beispiel Kohlenstoff (C)) enthalten kann.
  • Wie in 1A dargestellt, wird eine Dielektrikumschicht 101 über einem Substrat 100 gebildet. Die Dielektrikumschicht 101 kann über einem Abschnitt des Substrats 100 ausgebildet werden, die eine dotierte Region vom n-Typ (zum Beispiel eine n-Mulde) oder eine dotierte Region vom p-Typ (zum Beispiel eine p-Mulde) aufweist. In einigen Ausführungsformen kann die Bildung der Dielektrikumschicht 101 mittels eines Atomschichtabscheidungs (ALD)-Prozesses und/oder anderer geeigneter Verfahren ausgeführt werden. Die Dicke der Dielektrikumschicht 101 kann gemäß einigen Ausführungsformen zwischen 5A und 50A betragen.
  • In einigen Ausführungsformen kann die Dielektrikumschicht 101 eine Gate-Dielektrikumschicht sein. In einigen Ausführungsformen kann die Dielektrikumschicht 101 ein Dielektrikum mit hohem k-Wert sein. Die Dielektrikumschicht 101 kann Siliziumdioxid (SiO2) oder Hafniumoxid (HfO2) enthalten. Die Dielektrikumschicht 101 kann optional andere Dielektrika mit hohem k-Wert enthalten, wie zum Beispiel Titanoxid (TiO2), Hafnium-ZirkonOxid (HfZrO), Tantaloxid (Ta2O3), Hafniumsilikat (HfSiO4), Zirkonoxid (ZrO2), Zirkonsilikat (ZrSiO2), Kombinationen davon, oder anderes geeignetes Material.
  • Wie in 1B zu sehen, kann eine Schicht aus leitendem Material 102 über der Dielektrikumschicht 101 ausgebildet werden. In einigen Ausführungsformen kann die Schicht aus leitendem Material 102 ein dotierten Polysilizium, ein Metall, ein Metallsilicid, ein Metallnitrid oder eine Kombination davon enthalten. Eine Schicht aus Isoliermaterial 103 kann über der Schicht aus leitendem Material 102 ausgebildet werden. In einigen Ausführungsformen kann die Schicht aus Isoliermaterial 103 eine Hartmaske sein, die die Oberseite der Schicht aus leitendem Material 102 während anschließender Prozesse schützt und isoliert. In einigen Ausführungsformen kann die Schicht aus Isoliermaterial 103 Siliziumoxid (SiOx), Siliziumnitrid (SiNx), Siliziumoxynitrid (SiOxNy) oder kohlenstoffhaltige Materialien enthalten.
  • Wie in 1C zu sehen, können die Schicht aus Isoliermaterial 103, die Schicht aus leitendem Material 102 und die Dielektrikumschicht 101 strukturiert werden, um einen Gate-Stapel 104 zu bilden, der von dem Substrat 100 hervorsteht. In einigen Ausführungsformen erfolgt die Strukturierung des Gate-Stapels 104 unter Verwendung von Fotolithografieschritten, um die Struktur zu definieren, gefolgt von Ätzschritten, um die unerwünschten Materialien gemäß der Struktur zu entfernen. In einigen Ausführungsformen wird die Dielektrikumschicht 101 während des Strukturierungsprozesses von der Oberfläche des Substrats 100 entfernt, mit Ausnahme der Gate-Stapel- Bereiche.
  • In einigen Ausführungsformen hat der Gate-Stapel 104 ein Seitenverhältnis (zum Beispiel ein Verhältnis von Höhe zu Breite) zwischen etwa 1 und 10. In einigen Ausführungsformen hat der Gate-Stapel 104 ein Seitenverhältnis zwischen etwa 3 und 4.
  • Wie in 1D zu sehen, kann nach dem Bilden des Gate-Stapels 104 eine native Oxidschicht 107 auf den Seitenwandflächen und der Oberseite des Gate-Stapels 104 ausgebildet werden. In einigen Ausführungsformen kann die native Oxidschicht 107 durch einen spontanen Prozess gebildet werden, wenn der Gate-Stapel 104 einer Umgebungsatmosphäre ausgesetzt wird.
  • In einigen Ausführungsformen kann die native Oxidschicht 107 mehrere Abschnitte enthalten, wie zum Beispiel einen ersten Abschnitt 105 und einen zweiten Abschnitt 106. Der erste Abschnitt 105 kann an den Seitenwänden der Schicht aus leitendem Material 102 ausgebildet werden. Der zweite Abschnitt 106 kann an den Seitenwänden und auf der Oberseite der Schicht aus Isoliermaterial 103 ausgebildet werden. In einigen Ausführungsformen enthalten der erste Abschnitt 105 und der zweite Abschnitt 106 der nativen Oxidschicht 107 Siliziumoxid (SiOx). In einigen Ausführungsformen haben der erste Abschnitt 105 und der zweite Abschnitt 106 der nativen Oxidschicht 107 verschiedene Zusammensetzungen und/oder verschiedene Dicken.
  • Wie in 1E zu sehen, kann ein erster Abstandshalter 108 über der nativen Oxidschicht 107 ausgebildet werden. In einigen Ausführungsformen wird der erste Abstandshalter 108 mittels eines ALD-Prozesses gebildet. In einigen Ausführungsformen verwendet der ALD-Prozess ein auf Silan basierendes Gas, ein Kohlenwasserstoffgas, ein Ammoniakgas und ein oxidierendes Gas als Vorläufer. In einigen Ausführungsformen ist das auf Silan basierende Gas Hexachlordisilan (HCD), das Kohlenwasserstoffgas ist Propylen (C3H6), und das oxidierende Gas ist Sauerstoff (O2). Der Durchschnittsfachmann versteht, dass der ALD-Prozess für den ersten Abstandshalter 108 auch alternative Vorläufer anstelle der oben genannten Gase verwenden kann.
  • In einigen Ausführungsformen kann der ALD-Prozess zum Bilden des ersten Abstandshalters 108 eine Sequenz von Schritten verwenden, wobei jeder Schritt einen anderen Vorläufer verwendet. In einigen Ausführungsformen kann der ALD-Prozess eine Sequenz von Prozessschritten enthalten, die HCD, C3H6, O2 und NH3 als Vorläufer verwenden (zum Beispiel HCD=>C3H6=>O2=>NH3). Der Durchschnittsfachmann versteht, dass der ALD-Prozess für den ersten Abstandshalter 108 auch andere Sequenzen von Vorläufern oder andere Prozessschritte mit anderen Vorläufern enthalten kann. In einigen Ausführungsformen kann der ALD-Prozess bei einer Temperatur zwischen etwa 600°C und 650°C (zum Beispiel 630°C) über einen Zeitraum von drei bis vier Stunden ausgeführt werden. Dem Durchschnittsfachmann ist klar, dass der ALD-Prozess für den ersten Abstandshalter 108 auch bei einer Temperatur ausgeführt werden kann, die höherer oder niedriger als dieser Temperaturbereich ist, und über einen Zeitraum, der länger oder kürzer als drei bis vier Stunden ist.
  • In einigen Ausführungsformen besteht der erste Abstandshalter 108 aus vier Elementen: Silizium (Si), Kohlenstoff (C), Sauerstoff (O) und Stickstoff (N). In einigen Ausführungsformen betragen die Atomprozentsätze für diese Elemente etwa Si-33,4 %, C-4,6 %, O-42,6 % und N-19,5 %. Der Durchschnittsfachmann versteht, dass der erste Abstandshalter 108 auch andere Elemente enthalten kann, und die Atomprozentsätze dieser anderen Elemente können andere Prozentsätze sein als die in dieser Offenbarung genannten. In einigen Ausführungsformen beträgt die Dicke des ersten Abstandshalters 108 zwischen 35 Å und 45 Å. Der Durchschnittsfachmann versteht, dass der erste Abstandshalter 108 gemäß den Anforderungen bestimmter Designregeln oder Vorrichtungsspezifikationen auch dicker als 45 Å und/oder dünner als 35 Å sein kann. In einigen Ausführungsformen liegt die Dielektrizitätskonstante (der k-Wert) des ersten Abstandshalters 108 zwischen 4,8 und 5,2. In einigen Ausführungsformen liegt die Dichte des ersten Abstandshalters 108 zwischen etwa 2,4 und 2,5 (zum Beispiel 2,47). In einigen Ausführungsformen liegt die Durchschlagspannung des ersten Abstandshalters 108 zwischen etwa 2,5 V und 3,5 V (zum Beispiel 3 V).
  • Dem Durchschnittsfachmann leuchtet ein, dass Fotolithografie-, Implantierungs-, Reinigungs- und Ausheilungsprozessschritte vor dem Bilden des ersten Abstandshalters 108 ausgeführt werden können. Der Fotolithografieschritt kann Source/Drain-Regionen vom n-Typ und Source/Drain-Regionen vom p-Typ definieren. Die Implantierungsschritte können Source/Drain-Implantierungsschritte enthalten, die Dotanden vom n-Typ für die Source/Drain-Regionen vom n-Typ und Dotanden vom p-Typ für die Source/Drain-Regionen vom p-Typ verwenden. Ein Reinigungsschritt folgt den Implantierungsschritten, wobei der Reinigungsschritt Flüssigphasen-, Gasphasen- oder Plasmaphasenchemikalien verwenden kann. Der Reinigungsschritt entfernt Restdotanden von der Oberfläche des Substrats 100 nach den Implantierungsschritten. Der Reinigungsschritt kann auch restlichen Photoresist nach dem Fotolithografieschritt entfernen. In einigen Ausführungsformen folgt den Implantierungsschritten auch ein Ausheilungsprozess, der die implantierten Dotanden elektrisch aktiviert und teilweise oder vollständig die Schäden ausheilt, die durch die Implantierungsschritte auf dem Substrat 100 verursacht wurden.
  • Wie in 1F zu sehen, wird ein zweiter Abstandshalter 109 über dem ersten Abstandshalter 108 gebildet. In einigen Ausführungsformen enthält die Bildung des zweiten Abstandshalters 109 das Abscheiden des zweiten Abstandshalters 109, gefolgt von einer Behandlung des zweiten Abstandshalters 109. In einigen Ausführungsformen erfolgt das Abscheiden des zweiten Abstandshalters 109 mittels eines ALD-Prozesses oder eines Chemischen Aufdampfungs (CVD)-Prozesses. In einigen Ausführungsformen erfolgt der ALD-Prozess zum Bilden des zweiten Abstandshalters 109 bei einer Temperatur zwischen 75°C und 85°C über einen Zeitraum zwischen 400 und 500 Minuten.
  • Der ALD-Prozess zum Bilden des zweiten Abstandshalters 109 kann mehrere Vorläufer verwenden, wie zum Beispiel Wasser (H20) und Calypso (CH2Cl6Si2). In einigen Ausführungsformen kann der ALD-Prozess zum Bilden des zweiten Abstandshalters 109 einen Katalysator verwenden, wie zum Beispiel Pyridin (C5H5N). Der Durchschnittsfachmann versteht, dass der ALD-Prozess zum Bilden des zweiten Abstandshalters auch andere Vorläufer oder Katalysatoren verwenden kann. In einigen Ausführungsformen kann der ALD-Prozess zum Bilden des zweiten Abstandshalters 109 eine bestimmte Anzahl zyklisch ablaufender Prozesse enthalten, und jeder zyklisch ablaufende Prozess kann zwei Schritte enthalten: einen ersten Schritt, der Calypso als einen Vorläufer und Pyridin als einen Katalysator verwendet; und einen zweiten Schritt, der H2O als einen Vorläufer und Pyridin als einen Katalysator verwendet.
  • In einigen Ausführungsformen enthält die Behandlung des zweiten Abstandshalters 109 zwei Schritte: einen Plasmabehandlungsschritt und einen thermischen Ausheilungsschritt. In einigen Ausführungsformen verwendet der Plasmabehandlungsschritt ein Helium (He)-Plasma. In einigen Ausführungsformen verwendet die He-Plasmabehandlung einen direkten Plasmaprozess. Der direkte Plasmaprozess ist ein Plasmaprozess, bei dem der Wafer direkt dem Plasma und seinen Produkten ausgesetzt wird. In einigen Ausführungsformen verwendet der He-Plasmaprozess eine Plasmaleistung zwischen 1000 W und 2000 W (zum Beispiel 1500 W). In einigen Ausführungsformen erfolgt der He-Plasmabehandlungsschritt bei einer Temperatur zwischen 400°C und 500°C (zum Beispiel etwa 450°C). In einigen Ausführungsformen erfolgt der He-Plasmabehandlungsschritt über einen Zeitraum zwischen 1 Minute und 3 Minuten (zum Beispiel etwa 2 Minuten). Der Durchschnittsfachmann versteht, dass der He-Plasmaprozess mit einer anderen Plasmaleistung, bei anderen Temperaturen und über andere Zeiträume ausgeführt werden kann als die in der vorliegenden Offenbarung genannten. In einigen Ausführungsformen kann der He-Plasmaprozess ein Distanz-Plasmaprozess sein. Der Distanz-Plasmaprozess ist ein Plasmaprozess, bei dem sich der Wafer in einem Abstand von dem Ort der Plasmaerzeugung befindet.
  • In einigen Ausführungsformen verwendet der thermische Ausheilungsschritt ein N2-Gas oder ein H2-Gas. In einigen Ausführungsformen erfolgt der thermische Ausheilungsschritt, der das N2-Gas verwendet, bei einer Temperatur zwischen 500°C und 700°C (zum Beispiel etwa 600°C). In einigen Ausführungsformen erfolgt der thermische Ausheilungsschritt, der das N2-Gas verwendet, über einen Zeitraum zwischen 25 Minuten und 35 Minuten (zum Beispiel etwa 30 Minuten). In einigen Ausführungsformen erfolgt der thermische Ausheilungsschritt, der das N2-Gas verwendet, bei atmosphärischem Druck. Der Durchschnittsfachmann versteht, dass der thermische Ausheilungsschritt, der das N2-Gas verwendet, auch bei einer anderen Temperatur und über einen anderen Zeitraum ausgeführt werden kann als den in der vorliegenden Offenbarung genannten.
  • In einigen Ausführungsformen können das Abscheiden des zweiten Abstandshalters 109 mit dem ALD-Prozess, der Plasmabehandlungsschritt und der thermische Ausheilungsschritt in drei verschiedenen Prozesskammern auf drei verschiedenen Werkzeugrahmen ausgeführt werden. Der Wafer kann zwischen den Schritten einer Umgebungsatmosphäre ausgesetzt werden.
  • In einigen Ausführungsformen enthält die Bildung des zweiten Abstandshalters 109 einen kontinuierlichen Prozess, der das Abscheiden des zweiten Abstandshalters 109, die erste Behandlung des Abstandshalters 109 und die zweite Behandlung des Abstandshalters 109 im selben Prozessschritt ohne Inkontaktbringen des Wafers mit der Umgebungsatmosphäre vollendet. Ein solcher Prozess wird oft als ein „in-situ-Prozess“ bezeichnet. In einigen Ausführungsformen wird der in-situ-Prozess in separaten Prozesskammern innerhalb desselben Prozesswerkzeugrahmens ohne Inkontaktbringen des Wafers mit der Umgebungsatmosphäre vollendet.
  • In einigen Ausführungsformen beginnt der in-situ-Prozess mit einem ALD-Prozess bei etwa 80°C und einem Zeitraum von etwa 450 Minuten. Der ALD-Prozess scheidet den zweiten Abstandshalter 109 über dem ersten Abstandshalter 108 ab. In einigen Ausführungsformen wird der Wafer aus der ALD-Prozesskammer heraus zu einer Plasmaausheilungskammer bewegt, ohne den Wafer aus dem Prozesswerkzeugrahmen heraus zu bewegen und den Wafer mit der Umgebungsatmosphäre in Kontakt zu bringen. Die Wafertemperatur wird dann auf etwa 450°C für einen Plasmabehandlungsschritt in der Plasmaausheilungskammer erhöht. Ein He-Plasma wird mit einer Plasmaleistung von etwa 1500 W während des Plasmaausheilungsschrittes gebildet. Die Dauer des He-Plasmabehandlungsschrittes beträgt etwa 2 Minuten. Nach dem Plasmabehandlungsschritt wird der Wafer bis auf etwa 400°C heruntergekühlt, bevor ein thermischer Ausheilungsprozess beginnt. In einigen Ausführungsformen durchläuft der Wafer einen Abkühlungszeitraum zwischen 25 und 40 Minuten nach dem Plasmabehandlungsschritt und vor dem thermischen Ausheilungsschritt. Der Abkühlungszeitraum wird zur Rekonditionierung des Wafers für den nächsten thermischen Ausheilungsprozess verwendet, wie zum Beispiel das Herauspumpen des restlichen He, eine thermische Stabilisierung des Wafers und das Austreiben von Verunreinigungen und Produkten, die während des He-Plasmabehandlungsschrittes entstanden sind. In einigen Ausführungsformen wird der Wafer aus der Plasmaausheilungskammer heraus zu einer thermischen Ausheilungskammer für den thermischen Ausheilungsschritt bewegt, ohne den Wafer aus dem Prozesswerkzeugrahmen heraus zu bewegen und den Wafer mit der Umgebungsatmosphäre in Kontakt zu bringen. In einigen Ausführungsformen bleibt der Wafer in der Plasmaausheilungskammer für den thermischen Ausheilungsschritt. In einigen Ausführungsformen erwärmt der thermische Ausheilungsprozess den Wafer bis etwa 600°C in einer N2-Umgebung oder einer H2-Umgebung. In einigen Ausführungsformen dauert der thermische Ausheilungsprozess etwa 30 Minuten.
  • In einigen Ausführungsformen enthält der zweite Abstandshalter 109 eine Zusammensetzung von Si, O und C. In einigen Ausführungsformen betragen die Atomprozentsätze der Elemente etwa Si-26,3 %, C-10,6 % und O-57,1 %. Der Durchschnittsfachmann versteht, dass der zweite Abstandshalter 109 auch andere Elemente als die in dieser Offenbarung genannten enthalten kann, und die Atomprozentsätze der Elemente können andere sein als die in dieser Offenbarung genannten Prozentsätze. In einigen Ausführungsformen liegt die Dicke des zweiten Abstandshalters 109 zwischen 35 Å und 45 Å (zum Beispiel etwa 45 Å). Der Durchschnittsfachmann versteht, dass der zweite Abstandshalter 109 dicker oder dünner als dieser Bereich sein kann. In einigen Ausführungsformen beträgt die Dichte des zweiten Abstandshalters 109 etwa 2,05. In einigen Ausführungsformen beträgt die Durchschlagspannung des zweiten Abstandshalters 109 3,5 V oder mehr. In einigen Ausführungsformen liegt die Dielektrizitätskonstante (k-Wert) des zweiten Abstandshalters 109 zwischen 3,5 und 4,1 (zum Beispiel etwa 3,8).
  • Der Durchschnittsfachmann versteht, dass nach der Bildung des ersten Abstandshalters, aber vor der Bildung des zweiten Abstandshalters 109, Fotolithografie-, Implantierungs-, Fotolithografie-, Reinigungs- und Ausheilungsprozessschritte stattfinden können. Der Fotolithografieschritt kann Source/Drain-Regionen vom n-Typ und Source/Drain-Regionen vom p-Typ definieren. Die Implantierungsschritte können Schritte enthalten, die Dotanden vom n-Typ verwenden, um Source/Drain-Regionen vom n-Typ zu bilden, und Dotanden vom p-Typ verwenden, um Source/Drain-Regionen vom p-Typ zu bilden. In einigen Ausführungsformen werden die LDD-Regionen vom n-Typ und die LDD-Regionen vom p-Typ durch Fotolithografieschritte vor den Implantierungsschritten definiert. Ein Reinigungsschritt folgt den Implantierungsschritten, wobei der Reinigungsschritt Flüssigphasen-, Gasphasen- oder Plasmaphasenchemikalien verwenden kann. Der Reinigungsschritt entfernt Restdotanden, die auf der Oberfläche des ersten Abstandshalters 108 zurückgeblieben sind. Der Reinigungsschritt kann auch restlichen Photoresist nach dem Fotolithografieschritt entfernen. In einigen Ausführungsformen folgt den Implantierungsschritten ein Ausheilungsprozess, der die implantierten Dotanden elektrisch aktiviert und teilweise oder vollständig die Schäden ausheilt, die durch die Implantierungsschritte auf dem Substrat 100 verursacht wurden.
  • Wie in 1G zu sehen, wird eine Schicht aus Opferisoliermaterial 110 auf dem zweiten Abstandshalter 109 gebildet. In einigen Ausführungsformen enthält das Opferisoliermaterial 110 Siliziumnitrid (SiNx). In einigen Ausführungsformen wird das Opferisoliermaterial 110 mit einem ALD-Prozess oder einem CVD-Prozess gebildet. Ein Zweck des Opfermaterials 110 ist es, den zweiten Abstandshalter 109 und den ersten Abstandshalter 108 während Reinigungsschritten zu schützen. In einigen Ausführungsformen wird das Opferisoliermaterial 110 während anschließender Prozessschritte entfernt.
  • Wie in 1H zu sehen, werden Fotolithografieschritte ausgeführt, um Source/Drain-Regionen 111 frei zu legen. Nicht-freigelegte Bereiche werden mit einem Photoresist 112 bedeckt, wie durch 1H veranschaulicht. In einigen Ausführungsformen werden Reinigungsschritte nach den Fotolithografieschritten, aber vor einem anschließenden Epitaxieschritt ausgeführt. Ein Zweck der Reinigungsschritte ist das Konditionieren der Si-Fläche der Source/Drain-Regionen 111 für den anschließenden Epitaxieschritt. In einigen Ausführungsformen verwenden die Reinigungsschritte Flüssigphasen-, Gasphasen- oder Plasmaphasenchemikalien.
  • In einigen Ausführungsformen enthalten die Reinigungsschritte einen ersten Trockenreinigungsschritt mittels eines Gasphasen- oder Plasmaphasen-Kohlenstofffluorids (CF4) und -Wasserstoffbromids (HBr). In einigen Ausführungsformen erfolgt der erste Trockenreinigungsschritt unter Verwendung des CF4 und des HBr bei einer Temperatur zwischen 45°C und 65°C. In einigen Ausführungsformen ätzt der erste Trockenreinigungsschritt unter Verwendung des CF4 und des HBr das Opferisoliermaterial 110, den zweiten Abstandshalter 109 und den ersten Abstandshalter 108 in der vertikalen Richtung und legt die Si-Oberfläche des Substrats 100 in den Source/Drain-Regionen 111 frei. In einigen Ausführungsformen ätzt der erste Trockenreinigungsschritt unter Verwendung des CF4 und des HBr des Weiteren einen Abschnitt der Si-Oberfläche, der in den Source/Drain-Regionen 111 frei gelegt wird, wie durch 1I veranschaulicht. Der Durchschnittsfachmann versteht, dass auch andere Chemikalien als CF4 und HBr in dem ersten Trockenreinigungsschritt verwendet werden können.
  • In einigen Ausführungsformen enthalten die Reinigungsschritte auch einen ersten Nassreinigungsschritt unter Verwendung von Flüssigphasen-Schwefelsäure (H2SO4) und Peroxid (H2O2). In einigen Ausführungsformen entfernt der erste Nassreinigungsschritt den Photoresist 112, wie durch 1J veranschaulicht. Der Durchschnittsfachmann versteht, dass auch andere Chemikalien als H2SO4 in dem ersten Trockenreinigungsschritt verwendet werden können.
  • In einigen Ausführungsformen enthalten die Reinigungsschritte einen zweiten Trockenreinigungsschritt unter Verwendung von Gasphasen- oder Plasmaphasen-Stickstofffluorid (NF3) und Ammoniak (NH4). In einigen Ausführungsformen enthalten die Reinigungsschritte auch einen zweiten Nassreinigungsschritt unter Verwendung von Flüssigphasen-HF. In einigen Ausführungsformen enthalten die Reinigungsschritte des Weiteren einen dritten Trockenreinigungsschritt unter Verwendung von Gasphasen- oder Plasmaphasen-NF3 und -NH4. Ein Zweck des zweiten Trockenreinigungsschritts, des zweiten Nassreinigungsschritts und des dritten Trockenreinigungsschritts ist das Entfernen von restlichen Nebenprodukten, nativen Oxiden und minderwertigem Si, das in vorangehenden Schritten zum Vorbereiten der Oberfläche der Source/Drain-Regionen 111 für den Epitaxieschritt gebildet wurde.
  • Wie in 1K zu sehen, wird gemäß einigen Ausführungsformen ein oberer Abschnitt des ersten Abstandshalters 108 und des zweiten Abstandshalters 109, der über der Oberseite des Gate-Stapels 104 gebildet wird, entfernt. In einigen Ausführungsformen ist ein erster Abstandshalter 128 ein Abschnitt des ersten Abstandshalters 108, nach dem ein oberer Abschnitt des ersten Abstandshalters 108 entfernt wurde, und ein zweiter Abstandshalter 129 ist ein Abschnitt des zweiten Abstandshalters 109, nachdem ein oberer Abschnitt des zweiten Abstandshalters 109 entfernt wurde. In einigen Ausführungsformen wird der Gate-Stapel 104 mittels einer Reihe von Prozessschritten durch einen Metall-Gate-Stapel 127 ersetzt. In einigen Ausführungsformen enthält die Reihe von Prozessschritten Filmabscheidungsschritte, chemisch-mechanische Planarisierungs (CMP)-Schritte, Trockenätzschritte, Nassätzschritte, Reinigungsschritte, Implantierungsschritte, Plasma- und Wärmebehandlungsschritte und Fotolithografieschritte. In einigen Ausführungsformen enthält der Metall-Gate-Stapel 127 eine Gate-Dielektrikumschicht 121, eine Metallschicht 122 und eine isolierende Schicht 123. In einigen Ausführungsformen enthält die Dielektrikumschicht 121 Hafniumoxid (HfO2). In einigen Ausführungsformen kann die Dielektrikumschicht 121 optional andere Dielektrika mit hohem k-Wert enthalten, wie zum Beispiel TiO2, HfZrO, Ta2O3, HfSiO4, ZrO2, ZrSiO2, Kombinationen davon, oder anderes geeignetes Material. In einigen Ausführungsformen erfolgt die Bildung der Dielektrikumschicht 121 mittels eines ALD-Prozesses und/oder anderer geeigneter Verfahren. In einigen Ausführungsformen steht eine Seitenwand des Gate-Stapels 127 in Kontakt mit einem nativen Oxid 126. In einigen Ausführungsformen steht das native Oxid 126 in Kontakt mit einem ersten Abstandshalter 128. In einigen Ausführungsformen steht der erste Abstandshalter 128 des Weiteren in Kontakt mit einem zweiten Abstandshalter 129. Ein Beispiel des nativen Oxids 126 ist das oben in 1D beschriebene native Oxid 107.
  • In einigen Ausführungsformen enthält die Metallschicht 122 ein Metall mit einem Austrittsarbeitswert, so dass eine gewünschte Schwellenspannung Vt für den Metall-Gate-Stapel 127 erreicht wird. In einigen Ausführungsformen kann die Metallschicht 122 ein Austrittsarbeitsmetall vom n-Typ sein, wie zum Beispiel Titan (Ti), Silber (Ag), Tantal-Aluminium (TaAl), Tantal-Aluminiumcarbid (TaAlC), Titan-Aluminiumnitrid (TiAlN), Tantalcarbid (TaC), Tantal-Kohlenstoffnitrid (TaCN), Tantal-Siliziumnitrid (TaSiN), Mangan (Mn), Zirkon (Zr), andere geeignete Austrittsarbeitsmaterialien vom n-Typ oder Kombinationen davon. In einigen Ausführungsformen kann die Metallschicht 122 ein Austrittsarbeitsmetall vom p-Typ sein, wie zum Beispiel Titannitrid (TiN), Tantalnitrid (TaN), Ruthenium (Ru), Molybdän (Mo), Al, Wolframnitrid (WN), Zirkonsilicid (ZrSi2), Molybdänsilicid (MoSi2), Tantalsilicid (TaSi2), Nickelsilicid (NiSi2), andere geeignete Austrittsarbeitsmaterialien vom p-Typ oder Kombinationen davon. In einigen Ausführungsformen wird die Metall-Gate-Schicht 122 durch CVD, PVD und/oder andere geeignete Prozesse abgeschieden.
  • In einigen Ausführungsformen enthält die isolierende Schicht 123 Siliziumoxid (SiOx), Siliziumnitrid (SiNx) und/oder andere geeignete Materialien oder eine Kombination davon. In einigen Ausführungsformen kann die isolierende Schicht 123 durch CVD, PVD, ALD und/oder andere geeignete Prozesse abgeschieden werden.
  • In einigen Ausführungsformen hat der Gate-Stapel 127 ein Seitenverhältnis (zum Beispiel ein Verhältnis von Höhe zu Breite) zwischen etwa 1 und 10. In einigen Ausführungsformen hat der Gate-Stapel 127 ein Seitenverhältnis zwischen etwa 3 und 4.
  • 2A bis 2C sind Querschnittsansichten einer Halbleitervorrichtung während der Bildung eines Abstandshalters mit niedrigem k-Wert mit anderen Ätzbeträgen gemäß einigen Ausführungsformen. In einigen Ausführungsform hat der Abstandshalter mit niedrigem k-Wert eine niedrige Ätzrate für Ätzchemikalien. Infolge dessen kann der Abstandshalter mit niedrigem k-Wert dank seiner niedrigen Ätzrate seine Form während der gesamten Reinigungs- und Ätzschritte beibehalten.
  • Wie in 2A zu sehen, enthält ein Gate-Stapel 201 ein natives Oxid 203, einen ersten Abstandshalter 204 und einen zweiten Abstandshalter 205 an den Seitenwandflächen. In einigen Ausführungsformen enthält der Gate-Stapel 201 ein Gate-Dielektrikum, ein Isoliermaterial und ein leitendes Material (in der Figur nicht gezeigt). Falls der Gate-Stapel 201 und die Abstandshalter 204 und 205 nach dem Bilden keine anderen Prozesse durchlaufen (zum Beispiel Ätzprozesse und Reinigungsprozessen), behalten die Abstandshalter ihre Form, und die Oberseiten der Abstandshalter 204 und 205 fluchten mit der Oberseite des Gate-Stapels 201, wie durch 2A veranschaulicht.
  • Jedoch durchlaufen der Gate-Stapel 201 und die Abstandshalter 204 und 205 nach ihrem Bilden viele anschließende Prozesse. Die anschließenden Prozesse bringen den Gate-Stapel und die Abstandshalter 204 und 205 mit Ätzchemikalien und Reinigungschemikalien in Kontakt, die ein Ätzen des zweiten Abstandshalters 205 bewirken können, wenn er mit diesen Chemikalien in Kontakt gebracht wird. Infolge dessen geht ein Abschnitt des zweiten Abstandshalters 205 während der anschließenden Prozesse verloren, wie durch 2B veranschaulicht. Der Abschnitt des zweiten Abstandshalters 205, der verloren geht, ist als Abschnitt 206 bezeichnet. Die Ätzraten des zweiten Abstandshalters 205 bei den Ätz- und Reinigungschemikalien, die in den anschließenden Prozessen verwendet werden, beeinflussen den Abschnitt 206 des zweiten Abstandshalters 205, der während dieser Prozesse verloren geht.
  • Wie in 2C zu sehen, kann ein zweiter Abstandshalter 207 mit einer niedrigen Ätzrate unter Verwendung von Verfahren gebildet werden, die in der vorliegenden Offenbarung beschrieben sind. Wie durch 2C veranschaulicht, geht ein Abschnitt 208 des zweiten Abstandshalters 207 aufgrund der Ätz- und Reinigungschemikalien, die in den anschließenden Prozessen verwendet werden, verloren. In einigen Ausführungsformen hat der Abschnitt 208 des zweiten Abstandshalters 207 aufgrund seiner reduzierten Ätzraten eine kürzere vertikale Länge als der in 2B veranschaulichte Abschnitt 206 des zweiten Abstandshalters 205.
  • 3 ist eine Querschnittsansicht einer Halbleitervorrichtung während der Bildung eines Abstandshalters mit niedrigem k-Wert auf einer FinFET-Vorrichtung gemäß einigen Ausführungsformen. Wie in 3 zu sehen, wird eine Metall-Gate-Schicht 306 über einem Substrat 300 gebildet. In einigen Ausführungsformen kann das Substrat 300 eine aktive Rippenregion sein. In einigen Ausführungsformen wird die Metall-Gate-Schicht 306 durch Entfernen einer Dummy-Gate-Struktur gebildet, gefolgt vom Abscheiden der Metall-Gate-Schicht 306, um den Graben zu füllen, der durch das Entfernen der Dummy-Gate-Struktur entsteht. Eine Gate-Dielektrikumschicht kann auf der Oberfläche des Substrats 300 gebildet werden. In einigen Ausführungsformen enthält die Gate-Dielektrikumschicht eine Grenzflächenschicht 301. Die Grenzflächenschicht 301 kann eine Oxidschicht enthalten, wie zum Beispiel eine Siliziumoxidschicht, die gemäß einigen Ausführungsformen durch eine thermische Oxidation der Oberfläche des Substrats 300 gebildet werden kann. In einigen Ausführungsformen enthält die Gate-Dielektrikumschicht des Weiteren eine Dielektrikumschicht mit hohem k-Wert 302. Die Dielektrizitätskonstante (k-Wert) des Dielektrikummaterials mit hohem k-Wert ist höher als etwa 3,9 und kann höher als etwa 7,0 sein. Die Dielektrikumschicht mit hohem k-Wert 302 kann zum Beispiel Hafniumoxid (HfO2), Titanoxid (TiO2), Hafnium-Zirkonoxid (HfZrO), Tantaloxid (Ta2O3), Hafniumsilikat (HfSiO4), Zirkonoxid (ZrO2), Zirkonsilikat (ZrSiO2), Kombinationen davon, oder anderes geeignetes Material enthalten.
  • In einigen Ausführungsformen wird eine gestapelte Schicht 303 über der Gate-Dielektrikumschicht gebildet. Die gestapelte Schicht 303 kann eine Diffusionssperrschicht und eine oder mehrere Austrittsarbeitsschichten über der Diffusionssperrschicht enthalten. Die Diffusionssperrschicht kann aus Titannitrid (TiN) oder Titan-Siliziumnitrid (TiSiN) gebildet werden. Die Austrittsarbeitsschicht bestimmt die Austrittsarbeit des Gates und enthält mindestens eine Schicht oder mehrere Schichten, die aus verschiedenen Materialien bestehen. Das Material der Austrittsarbeitsschicht wird danach ausgewählt, ob der jeweilige FinFET ein FinFET vom n-Typ oder ein FinFET vom p-Typ ist. Für einen FinFET vom n-Typ kann die Austrittsarbeitsschicht eine TiN-Schicht, eine Titan-Aluminium (TiAl)-Schicht und/oder eine Titan-Aluminiumcarbid (TiAlC)-Schicht enthalten. Für einen FinFET vom p-Typ kann die Austrittsarbeitsschicht eine TiN-Schicht und/oder eine TiAl-Schicht enthalten. In einigen Ausführungsformen kann die gestapelte Schicht 303 eine andere Sperrschicht (zum Beispiel TiN) über der Austrittsarbeitsschicht enthalten.
  • In einigen Ausführungsformen wird die Metall-Gate-Schicht 306 über der gestapelten Schicht 303 gebildet und kann Wolfram (W), Kobalt (Co), Nickel (Ni) oder Ruthenium (Ru) enthalten. Der erste Abstandshalter 304 wird über den Seitenflächen der Metall-Gate-Schicht 306 gebildet, und der zweite Abstandshalter 305 wird auf dem ersten Abstandshalter 304 gebildet. In einigen Ausführungsformen wird ein Zwischenschichtdielektrikum (ILD) 307 über den Seitenflächen des zweiten Abstandshalters 305 gebildet. In einigen Ausführungsformen kann das ILD 307 ein Sauerstoff-haltiges Dielektrikum sein, wie zum Beispiel ein Oxid.
  • In einigen Ausführungsformen wird der erste Abstandshalter 304 unter Verwendung eines ähnlichen Verfahrens gebildet wie der erste Abstandshalter 128, und der zweite Abstandshalter 305 wird mittels eines ähnlichen Verfahrens gebildet wie der zweite Abstandshalter 129, wie in 1K besprochen. Ähnlich dem zweiten Abstandshalter 129 ist der zweite Abstandshalter 305 ein Abstandshalter mit niedrigem k-Wert mit reduzierten Ätzraten in Ätz- und Reinigungschemikalien. Infolge dessen wird der Verlust des zweiten Abstandshalters 305 während anschließender Ätz- und Reinigungsprozesse verringert, und die Form des zweiten Abstandshalters 305 bleibt erhalten.
  • 4 ist ein Flussdiagramm eines Verfahrens 400 zum Bilden eines Abstandshalters gemäß einigen Ausführungsformen. Anhand der Offenbarung im vorliegenden Text erkennt der Durchschnittsfachmann, dass auch andere Operationen in dem Verfahren 400 ausgeführt werden können. Des Weiteren erkennt der Durchschnittsfachmann, dass die Operationen des Verfahrens 400 in einer anderen Reihenfolge ausgeführt und/oder variieren werden können.
  • Bei Operation 401 wird ein Gate-Stapel auf und/oder innerhalb einer Halbleiterstruktur gebildet. Die Halbleiterstruktur kann Volumensilizium (zum Beispiel dotiertes oder undotiertes Silizium) oder eine aktive Schicht aus einem Silizium-auf-Isolator (SOI)-Substrat enthalten. Die Halbleiterstruktur kann Halbleitermaterial enthalten wie zum Beispiel Silizium, Germanium, Silizium-Germanium, Silizium-Germanium auf Isolator (SGOI) oder Kombinationen davon. Aktive Bauelemente können auf dem und/oder innerhalb des Halbleitersubstrats ausgebildet werden. Aktive und passive Bauelemente wie zum Beispiel Transistoren, Dioden, Kondensatoren, Widerstände, Induktoren und dergleichen können ausgebildet werden.
  • In einigen Ausführungsformen enthält die Halbleiterstruktur Isolierstrukturen, Isolatorschichten und/oder erhöhte Strukturelemente wie zum Beispiel Rippen. Rippen können unter Verwendung geeigneter Prozesse hergestellt werden, einschließlich Fotolithografie und Ätzprozessen. Isolierstrukturen können aus einem Dielektrikummaterial hergestellt werden und können eine Mehrschichtstruktur enthalten, wie zum Beispiel eine Struktur, die eine oder mehrere Auskleidungsschichten aufweist. In einigen Ausführungsformen kann eine optionale Isolatorschicht durch Abscheiden eines Isolatormaterials und Ausführen anschließender Fotolithografie- und Ätzprozesse ausgebildet werden.
  • In einigen Ausführungsformen enthält der Gate-Stapel eine Gate-Isolierschicht, eine leitfähige Schicht und eine Kapp-Isolierschicht. Die Gate-Isolierschicht kann Siliziumdioxid (SiO2) oder Dielektrika mit hohem k-Wert enthalten. Zu Dielektrika mit hohem k-Wert gehören zum Beispiel Hafniumoxid (HfO2), Titanoxid (TiO2), Hafnium-Zirkonoxid (HfZrO), Tantaloxid (Ta2O3), Hafniumsilikat (HfSiO4), Zirkonoxid (ZrO2), Zirkonsilikat (ZrSiO2), Kombinationen davon, oder anderes geeignetes Material.
  • In einigen Ausführungsformen kann die leitfähige Schicht ein dotiertes Polysilizium, ein Metall, ein Metallsilicid, ein Metallnitrid oder eine Kombination davon enthalten. In einigen Ausführungsformen kann die Kapp-Isolierschicht Siliziumoxid (SiOx), Siliziumnitrid (SiNx), Siliziumoxynitrid (SiOxNy) oder kohlenstoffhaltige Materialien enthalten.
  • In einigen Ausführungsformen ist der Gate-Stapel ein Opfer-Gate-Stapel und wird später durch einen Metall-Gate-Stapel ersetzt. In einigen Ausführungsformen enthält der Metall-Gate-Stapel eine Gate-Dielektrikumschicht, eine Metallschicht und eine Isolierschicht. In einigen Ausführungsformen enthält die Metallschicht ein Austrittsarbeitsmetall vom n-Typ wie zum Beispiel Titan (Ti), Silber (Ag), Tantal-Aluminium (TaAl), Tantal-Aluminiumcarbid (TaAlC), Titan-Aluminiumnitrid (TiAlN), Tantalcarbid (TaC), Tantal-Kohlenstoffnitrid (TaCN), Tantal-Siliziumnitrid (TaSiN), Mangan (Mn), Zirkon (Zr), andere geeignete Austrittsarbeitsmaterialien vom n-Typ oder Kombinationen davon. In einigen Ausfiihrungsformen enthält die Metallschicht Austrittsarbeitsmetall vom p-Typ wie zum Beispiel Titannitrid (TiN), Tantalnitrid (TaN), Ruthenium (Ru), Molybdän (Mo), Al, Wolframnitrid (WN), Zirkonsilicid (ZrSi2), Molybdänsilicid (MoSi2), Tantalsilicid (TaSi2), Nickelsilicid (NiSi2), andere geeignete Austrittsarbeitsmaterialien vom p-Typ oder Kombinationen davon.
  • Bei Operation 402 wird ein erster Abstandshalter auf der Oberseite und den Seitenwandflächen des Gate-Stapels gebildet. In einigen Ausführungsformen wird der erste Abstandshalter mittels eines ALD-Prozesses gebildet. In einigen Ausführungsformen kann der ALD-Prozess bei einer Temperatur zwischen etwa 600°C und 650°C (zum Beispiel 630°C) über einen Zeitraum von drei bis vier Stunden ausgeführt werden. In einigen Ausführungsformen besteht der erste Abstandshalter aus vier Elementen: Silizium (Si), Kohlenstoff (C), Sauerstoff (O) und Stickstoff (N). In einigen Ausführungsformen liegt die Dicke des ersten Abstandshalters zwischen 35 Å und 45 Ä. In einigen Ausführungsformen liegt die Dielektrizitätskonstante (k-Wert) des ersten Abstandshalters zwischen 4,8 und 5,2.
  • Bei Operation 403 wird ein zweiter Abstandshalter über dem ersten Abstandshalter gebildet. In einigen Ausführungsformen erfolgt das Bilden des zweiten Abstandshalters mittels eines ALD-Prozesses oder eines chemischen Aufdampfungs (CVD)-Prozesses. In einigen Ausführungsformen erfolgt der ALD-Prozess zum Bilden des zweiten Abstandshalters bei einer Temperatur zwischen 75°C und 85°C über einen Zeitraum zwischen 400 und 500 Minuten. In einigen Ausführungsformen besteht der zweite Abstandshalter aus drei Elementen: Silizium (Si), Kohlenstoff (C) und Sauerstoff (O). In einigen Ausführungsformen liegt die Dicke des zweiten Abstandshalters zwischen 35 Å und 45 Å.
  • Bei Operation 404 wird der zweite Abstandshalter mit einem Plasma behandelt. In einigen Ausführungsformen verwendet der Plasmabehandlungsschritt ein Helium (He)-Plasma. In einigen Ausführungsformen verwendet die He-Plasmabehandlung einen direkten Plasmaprozess. In einigen Ausführungsformen verwendet der He-Plasmaprozess eine Plasmaleistung zwischen 1000 W und 2000 W (zum Beispiel 1500 W). In einigen Ausführungsformen erfolgt der He-Plasmabehandlungsschritt bei einer Temperatur zwischen 400°C und 500°C (zum Beispiel etwa 450°C). In einigen Ausführungsformen erfolgt der He-Plasmabehandlungsschritt über einen Zeitraum zwischen 1 Minute und 3 Minuten (zum Beispiel etwa 2 Minuten).
  • Bei Operation 405 wird der zweite Abstandshalter mit einem Gas unter erhöhter Temperatur behandelt. In einigen Ausführungsformen ist die Behandlung mit einem Gas ein thermischer Ausheilungsprozess, der ein N2-Gas oder ein H2-Gas verwendet. In einigen Ausführungsformen kann der thermische Ausheilungsprozess N2-Gas verwenden und bei einer Temperatur zwischen 500°C und 700°C (zum Beispiel etwa 600°C) über einen Zeitraum zwischen 25 Minuten und 35 Minuten (zum Beispiel etwa 30 Minuten) ausgeführt werden. Der thermische Ausheilungsschritt, der das N2-Gas verwendet, kann bei atmosphärischem Druck ausgeführt werden. Der Durchschnittsfachmann versteht, dass der thermische Ausheilungsschritt, der das N2-Gas verwendet, auch bei einer anderen Temperatur und über einen anderen Zeitraum als den in der vorliegenden Offenbarung genannten ausgeführt werden kann.
  • In einigen Ausführungsformen hat der zweite Abstandshalter nach der in den Operationen 304 und 305 besprochenen Behandlung eine Dielektrizitätskonstante zwischen etwa 3,8 und 3,9.
  • 5 ist ein Flussdiagramm eines Verfahrens 500 zum Bilden eines Abstandshalters gemäß einigen Ausführungsformen. Anhand der Offenbarung im vorliegenden Text erkennt der Durchschnittsfachmann, dass auch andere Operationen in dem Verfahren 500 ausgeführt werden können. Des Weiteren erkennt der Durchschnittsfachmann, dass die Operationen von Verfahren 500 in einer anderen Reihenfolge ausgeführt werden und/oder variieren können.
  • Bei Operation 501 wird ein Gate-Stapel auf und/oder innerhalb einer Halbleiterstruktur gebildet. Die Halbleiterstruktur kann Volumensilizium (zum Beispiel dotiertes oder undotiertes Silizium) oder eine aktive Schicht aus einem Silizium-auf-Isolator (SOI)-Substrat enthalten. Die Halbleiterstruktur kann Halbleitermaterial wie zum Beispiel Silizium, Germanium, Silizium-Germanium, Silizium-Germanium auf Isolator (SGOI) oder Kombinationen davon enthalten. Aktive Bauelemente können auf und/oder in dem Halbleitersubstrat ausgebildet werden. Aktive und passive Bauelemente wie zum Beispiel Transistoren, Dioden, Kondensatoren, Widerstände, Induktoren und dergleichen können ausgebildet werden.
  • In einigen Ausführungsformen enthält die Halbleiterstruktur Isolierstrukturen, Isolatorschichten und/oder erhöhte Strukturelemente wie zum Beispiel Rippen. Rippen können unter Verwendung geeigneter Prozesse hergestellt werden, einschließlich Fotolithografie und Ätzprozessen. Isolierstrukturen können aus einem Dielektrikummaterial hergestellt werden und können eine Mehrschichtstruktur enthalten, wie zum Beispiel eine Struktur mit einer oder mehreren Auskleidungsschichten. In einigen Ausführungsformen kann eine optionale Isolatorschicht durch Abscheiden eines Isolatormaterials und Ausführen anschließender Fotolithografie- und Ätzprozesse ausgebildet werden.
  • In einigen Ausführungsformen enthält der Gate-Stapel eine Gate-Isolierschicht, eine leitfähige Schicht und eine Kapp-Isolierschicht. Die Gate-Isolierschicht kann Siliziumdioxid (SiO2) oder Dielektrika mit hohem k-Wert enthalten. Zu Dielektrika mit hohem k-Wert gehören zum Beispiel Hafniumoxid (HfO2), Titanoxid (TiO2), Hafnium-Zirkonoxid (HfZrO), Tantaloxid (Ta2O3), Hafniumsilikat (HfSiO4), Zirkonoxid (ZrO2), Zirkonsilikat (ZrSiO2), Kombinationen davon, oder anderes geeignetes Material.
  • In einigen Ausführungsformen kann die leitfähige Schicht ein dotiertes Polysilizium, ein Metall, ein Metallsilicid, ein Metallnitrid oder eine Kombination davon enthalten. In einigen Ausführungsformen kann die Kapp-Isolierschicht Siliziumoxid (SiOx), Siliziumnitrid (SiNx), Siliziumoxynitrid (SiOxNy) oder kohlenstoffhaltige Materialien enthalten.
  • In einigen Ausführungsformen ist der Gate-Stapel ein Opfer-Gate-Stapel und wird später durch einen Metall-Gate-Stapel ersetzt. In einigen Ausführungsformen enthält der Metall-Gate-Stapel eine Gate-Dielektrikumschicht, eine Metallschicht und eine Isolierschicht. In einigen Ausführungsformen enthält die Metallschicht ein Austrittsarbeitsmetall vom n-Typ wie zum Beispiel Titan (Ti), Silber (Ag), Tantal-Aluminium (TaAl), Tantal-Aluminiumcarbid (TaAlC), Titan-Aluminiumnitrid (TiAlN), Tantalcarbid (TaC), Tantal-Kohlenstoffnitrid (TaCN), Tantal-Siliziumnitrid (TaSiN), Mangan (Mn), Zirkon (Zr), andere geeignete Austrittsarbeitsmaterialien vom n-Typ oder Kombinationen davon. In einigen Ausführungsformen enthält die Metallschicht Austrittsarbeitsmetall vom p-Typ wie zum Beispiel Titannitrid (TiN), Tantalnitrid (TaN), Ruthenium (Ru), Molybdän (Mo), Al, Wolframnitrid (WN), Zirkonsilicid (ZrSi2), Molybdänsilicid (MoSi2), Tantalsilicid (TaSi2), Nickelsilicid (NiSi2), andere geeignete Austrittsarbeitsmaterialien vom p-Typ oder Kombinationen davon.
  • Bei Operation 502 wird ein natives Oxid an der Seitenwandfläche des Gate-Stapels gebildet. In einigen Ausführungsformen kann das native Oxid durch einen spontanen Prozess gebildet werden, wenn der Gate-Stapel einer Umgebungsatmosphäre ausgesetzt wird. In einigen Ausführungsformen enthält das native Oxid SiO2.
  • Bei Operation 503 wird ein erster Abstandshalter auf der Oberseite und den Seitenwandflächen des Gate-Stapels mit einem ersten ALD-Prozess abgeschieden. In einigen Ausführungsformen kann der ALD-Prozess bei einer Temperatur zwischen etwa 600°C und 650°C (zum Beispiel 630°C) über einen Zeitraum von drei bis vier Stunden ausgeführt werden. In einigen Ausführungsformen besteht der erste Abstandshalter aus vier Elementen: Silizium (Si), Kohlenstoff (C), Sauerstoff (O) und Stickstoff (N). In einigen Ausführungsformen liegt die Dicke des ersten Abstandshalters zwischen 35 Å und 45 Å. In einigen Ausführungsformen liegt die Dielektrizitätskonstante (k-Wert) des ersten Abstandshalters zwischen 4,8 und 5,2.
  • Bei Operation 504 wird ein zweiter Abstandshalter über dem ersten Abstandshalter mittels eines zweiten ALD-Prozesses abgeschieden. In einigen Ausführungsformen erfolgt der zweite ALD-Prozess für das Abscheiden des zweiten Abstandshalters bei einer Temperatur zwischen 75°C und 85°C über einen Zeitraum zwischen 400 und 500 Minuten. In einigen Ausführungsformen besteht der zweite Abstandshalter aus drei Elementen: Silizium (Si), Kohlenstoff (C) und Sauerstoff (O). In einigen Ausführungsformen liegt die Dicke des zweiten Abstandshalters zwischen 35 Å und 45 Å.
  • Bei Operation 505 wird der zweite Abstandshalter mit einem He-Plasma behandelt. In einigen Ausführungsformen verwendet die He-Plasmabehandlung einen direkten Plasmaprozess. In einigen Ausführungsformen verwendet der He-Plasmaprozess eine Plasmaleistung zwischen 1000 W und 2000 W (zum Beispiel 1500 W). In einigen Ausführungsformen erfolgt der He-Plasmabehandlungsschritt bei einer Temperatur zwischen 400°C und 500°C (zum Beispiel etwa 450°C). In einigen Ausführungsformen erfolgt der He-Plasmabehandlungsschritt über einen Zeitraum zwischen 1 Minute und 3 Minuten (zum Beispiel etwa 2 Minuten).
  • Bei Operation 506 wird der zweite Abstandshalter mit einem N2-Gas unter erhöhter Temperatur behandelt. In einigen Ausführungsformen ist die Behandlung mit einem N2-Gas ein thermischer Ausheilungsprozess, der bei einer Temperatur zwischen 500°C und 700°C (zum Beispiel etwa 600°C) über einen Zeitraum zwischen 25 Minuten und 35 Minuten (zum Beispiel etwa 30 Minuten) ausgeführt wird. In einigen Ausführungsformen kann der thermische Ausheilungsschritt, der das N2-Gas verwendet, bei atmosphärischem Druck ausgeführt werden. Der Durchschnittsfachmann versteht, dass der thermische Ausheilungsschritt, der das N2-Gas verwendet, auch bei einer anderen Temperatur und über einen anderen Zeitraum ausgeführt werden kann als den in der vorliegenden Offenbarung genannten.
  • In einigen Ausführungsformen hat der zweite Abstandshalter nach der in den Operationen 405 und 406 besprochenen Behandlung eine Dielektrizitätskonstante zwischen etwa 3,8 und 3,9.
  • Der Abstandshalter, der durch die Verfahren in dieser Offenbarung gebildet wird, erbringt einen Abstandshalter mit niedrigem k-Wert und reduzierten Ätzraten sowohl bei Nassätzprozessen als auch bei Trockenätzprozessen. Der niedrige k-Wert hilft beim Verringern der Widerstand-Kapazität (RC)-Verzögerung der Vorrichtungen und hilft beim Erhöhen der Geschwindigkeit dieser Vorrichtungen. Andererseits hilft die Reduzierung sowohl der Nassätzraten als auch der Trockenätzraten beim Verringern des Verlustes des Abstandshalters während anschließender Prozesse, zu denen Nassätz- und Trockenätzprozesse gehören. Eine solche Reduzierung des Verlustes des Abstandshalters hilft beim Bewahren der Form des Abstandshalters sowohl vertikal als auch horizontal, wodurch die Produktionsausbeute der Vorrichtung und ihre Zuverlässigkeit erhöht werden.
  • In einigen Ausführungsformen enthält ein Verfahren zum Bilden eines Abstandshalters das Bereitstellen einer Struktur, die einen Gate-Stapel aufweist. Der Gate-Stapel enthält eine Oberseite und Seitenwandflächen. Der Gate-Stapel enthält des Weiteren eine Gate-Dielektrikumschicht, eine leitfähige Schicht und eine Kapp-Isolierschicht. Ein erster Abstandshalter wird auf der Oberseite und der Seitenwandfläche des Gate-Stapels gebildet. Ein zweiter Abstandshalter wird auf dem ersten Abstandshalter gebildet. Nach dem Bilden wird der zweite Abstandshalter zuerst mit einem Plasma behandelt und dann mit einem Gas behandelt.
  • In einigen Ausführungsformen enthält ein Verfahren zum Bilden eines Abstandshalters das Bereitstellen einer Struktur, die einen Gate-Stapel aufweist. Der Gate-Stapel enthält eine Oberseite und Seitenwandflächen. Der Gate-Stapel enthält des Weiteren eine Gate-Dielektrikumschicht, eine leitfähige Schicht und eine Kapp-Isolierschicht. Ein erster Abstandshalter wird auf der Oberseite und der Seitenwandfläche des Gate-Stapels mittels eines ersten ALD-Prozesses abgeschieden. Ein zweiter Abstandshalter wird auf dem ersten Abstandshalter mittels eines zweiten ALD-Prozesses abgeschieden. Nach dem Abscheiden wird der zweite Abstandshalter zuerst mit einem Plasma behandelt und dann mit einem Gas behandelt.
  • In einigen Ausführungsformen enthält ein Verfahren zum Bilden eines Abstandshalters das Bereitstellen einer Struktur, die eine vorspringende Struktur aufweist. Die vorspringende Struktur enthält eine Oberseite und Seitenwandflächen. Ein Abstandshalter wird mit einem ALD-Prozess auf der Oberseite und den Seitenwandflächen der vorspringenden Struktur abgeschieden. Nach dem Abscheiden wird der Abstandshalter zuerst mit einem He-Plasama behandelt und dann mit einem N2-Gas ausgeheilt.
  • Es versteht sich, dass der Abschnitt der Detaillierten Beschreibung für das Verständnis der Ansprüche heranzuziehen ist, und nicht die Abschnitte der Kurzdarstellung und der Zusammenfassung. Die Abschnitte der Kurzdarstellung und der Zusammenfassung können eine oder mehrere, aber nicht alle beispielhaften Ausführungsformen der vorliegenden Offenbarung, wie sie von dem oder den Erfindern verstanden werden wollen, darlegen, und sollen darum die vorliegende Offenbarung und die beiliegenden Ansprüche in keiner Weise einschränken.
  • Die obige Offenbarung umreißt Merkmale verschiedener Ausführungsformen, so dass der Durchschnittsfachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Dem Durchschnittsfachmann ist klar, dass er die vorliegende Offenbarung ohne Weiteres als Basis für das Entwerfen oder Modifizieren anderer Prozesse und Strukturen verwenden kann, um die gleichen Zwecke und/oder die gleichen Vorteile wie bei den im vorliegenden Text vorgestellten Ausführungsformen zu erreichen. Dem Durchschnittsfachmann ist auch klar, dass solche äquivalenten Bauformen nicht das Wesen und den Schutzumfang der vorliegenden Offenbarung verlassen, und dass er verschiedene Änderungen, Substituierungen und Modifizierungen an der vorliegenden Erfindung vornehmen kann, ohne vom Wesen und Schutzumfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Verfahren, das Folgendes umfasst: Bilden eines Gate-Stapels auf einem Substrat, wobei der Gate-Stapel eine Oberseite und eine Seitenwandfläche umfasst; Bilden eines ersten Abstandshalters auf der Oberseite und der Seitenwandfläche des Gate-Stapels; Bilden eines zweiten Abstandshalters über dem ersten Abstandshalter; Behandeln des zweiten Abstandshalters mit einem Plasma bei einer ersten Temperatur über einen ersten Zeitraum; Behandeln des zweiten Abstandshalters mit einem Gas bei einer zweiten Temperatur über einen zweiten Zeitraum; und Entfernen eines Abschnitts des ersten Abstandshalters und eines Abschnitts des zweiten Abstandshalters, der über der Oberseite des Gate-Stapels ausgebildet ist.
  2. Verfahren nach Anspruch 1, das des Weiteren das Ersetzen des Gate-Stapels durch einen Metall-Gate-Stapel umfasst, wobei der Metall-Gate-Stapel über einer aktiven Rippenregion gebildet wird.
  3. Verfahren nach Anspruch 1 oder 2, wobei das Bilden des ersten Abstandshalters das Abscheiden des ersten Abstandshalters mit einem Atomschichtabscheidungs (ALD)-Prozess umfasst.
  4. Verfahren nach einem der vorangehenden Ansprüche, wobei der erste Abstandshalter Silizium (Si), Sauerstoff (O), Stickstoff (N) und Kohlenstoff (C) umfasst.
  5. Verfahren nach einem der vorangehenden Ansprüche, wobei das Bilden des zweiten Abstandshalters das Abscheiden des zweiten Abstandshalters mit einem ALD-Prozess umfasst.
  6. Verfahren nach einem der vorangehenden Ansprüche, wobei der zweite Abstandshalter Silizium (Si), Sauerstoff (O) und Kohlenstoff (C) umfasst.
  7. Verfahren nach einem der vorangehenden Ansprüche, wobei der zweite Abstandshalter eine Dielektrizitätskonstante kleiner als 4,0 hat.
  8. Verfahren nach einem der vorangehenden Ansprüche, wobei der erste Abstandshalter und der zweite Abstandshalter jeweils eine Dicke zwischen 35 Å und 45 Ä haben.
  9. Verfahren nach einem der vorangehenden Ansprüche, wobei das Plasma ein Helium (He)-Plasma umfasst.
  10. Verfahren nach einem der vorangehenden Ansprüche, wobei das Gas Stickstoff (N2) oder Wasserstoff (H2) umfasst.
  11. Verfahren nach einem der vorangehenden Ansprüche, wobei die zweite Temperatur höher ist als die erste Temperatur.
  12. Verfahren nach einem der vorangehenden Ansprüche, wobei der Gate-Stapel eine Metallschicht und eine Dielektrikumschicht umfasst.
  13. Verfahren, das Folgendes umfasst: Bilden eines Gate-Stapels auf einem Substrat, wobei der Gate-Stapel eine Oberseite und eine Seitenwandfläche hat; Bilden eines nativen Oxids auf der Seitenwandfläche des Gate-Stapels; Abscheiden eines ersten Abstandshalters mit einem ersten Atomschichtabscheidungs (ALD)-Prozess bei einer ersten Temperatur über einen ersten Zeitraum, wobei der erste Abstandshalter die Oberseite und die Seitenwandfläche des Gate-Stapels bedeckt; Bilden eines zweiten Abstandshalters über dem ersten Abstandshalter, wobei das Bilden des zweiten Abstandshalters Folgendes umfasst: Abscheiden des zweiten Abstandshalters mit einem zweiten ALD-Prozess bei einer zweiten Temperatur über einen zweiten Zeitraum; Behandeln des zweiten Abstandshalters mit einem Helium (He)-Plasma bei einer dritten Temperatur über einen dritten Zeitraum; und Ausheilen des zweiten Abstandshalters mit einem Stickstoff (N2)-Gas bei einer vierten Temperatur über einen vierten Zeitraum; und Entfernen eines Abschnitts des ersten Abstandshalters und eines Abschnitts des zweiten Abstandshalters über der Oberseite des Gate-Stapels.
  14. Verfahren nach Anspruch 13, wobei der zweite Abstandshalter Silizium (Si), Sauerstoff (O) und Kohlenstoff (C) umfasst.
  15. Verfahren nach Anspruch 13 oder 14, wobei der zweite Abstandshalter eine Dielektrizitätskonstante kleiner als 4.0 hat.
  16. Verfahren nach einem der vorangehenden Ansprüche 13 bis 15, wobei der erste Abstandshalter und der zweite Abstandshalter jeweils eine Dicke zwischen 35 Å und 45 Å haben.
  17. Verfahren zum Bilden eines Abstandshalters mit einen justierten Ätzratenverhalten, wobei das Verfahren Folgendes umfasst: Bilden einer vorspringenden Struktur auf einem Substrat, wobei die vorspringende Struktur eine Oberseite und eine Seitenwandfläche hat; Abscheiden eines Abstandshalters mit einem Atomschichtabscheidungs (ALD)-Prozess, wobei der Abstandshalter die Oberseite und die Seitenwandfläche der vorspringenden Struktur bedeckt; Behandeln des Abstandshalters mit einem Helium (He)-Plasma; Ausheilen des Abstandshalters mit einem Stickstoff (N2)-Gas; und Entfernen eines Abschnitts des Abstandshalters, der über der Oberseite der vorspringenden Struktur gebildet wird.
  18. Verfahren nach Anspruch 17, wobei der Abstandshalter eine Dicke zwischen 35 Å und 45 Å und eine Dielektrizitätskonstante kleiner als 4,0 hat.
  19. Verfahren nach Anspruch 17 oder 18, wobei das Behandeln des Abstandshalters mit dem He-Plasma das Bilden von Si-O-Si-C-Käfigverknüpfungsbindungen in dem Abstandshalter umfasst.
  20. Verfahren nach einem der vorangehenden Ansprüche 17 bis 19, wobei der Abstandshalter Silizium (Si), Sauerstoff (O) und Kohlenstoff (C) umfasst.
DE102017112746.1A 2017-05-08 2017-06-09 Verfahren zum Bilden eines Abstandshalters mit niedrigem k-Wert Active DE102017112746B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/589,659 2017-05-08
US15/589,659 US10361282B2 (en) 2017-05-08 2017-05-08 Method for forming a low-K spacer

Publications (2)

Publication Number Publication Date
DE102017112746A1 true DE102017112746A1 (de) 2018-11-08
DE102017112746B4 DE102017112746B4 (de) 2023-12-07

Family

ID=63895671

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017112746.1A Active DE102017112746B4 (de) 2017-05-08 2017-06-09 Verfahren zum Bilden eines Abstandshalters mit niedrigem k-Wert

Country Status (5)

Country Link
US (3) US10361282B2 (de)
KR (1) KR102003592B1 (de)
CN (1) CN108878291B (de)
DE (1) DE102017112746B4 (de)
TW (1) TWI669779B (de)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10361282B2 (en) * 2017-05-08 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a low-K spacer
US10510852B2 (en) * 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k feature formation processes and structures formed thereby
JP7077108B2 (ja) * 2018-04-05 2022-05-30 東京エレクトロン株式会社 被加工物の処理方法
US10700180B2 (en) * 2018-07-27 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10879373B2 (en) * 2019-04-23 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US20220013655A1 (en) * 2020-07-10 2022-01-13 Changxin Memory Technologies, Inc. Semiconductor device and method for preparing same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150249017A1 (en) * 2014-03-03 2015-09-03 Tokyo Electron Limited Spacer material modification to improve k-value and etch properties
US20160307772A1 (en) * 2015-04-15 2016-10-20 Applied Materials, Inc. Spacer formation process with flat top profile

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4859573A (en) * 1984-08-13 1989-08-22 Ncr Corporation Multiple photoresist layer process using selective hardening
US5976979A (en) * 1997-06-10 1999-11-02 Industrial Technology Research Institute Sequential oxygen plasma treatment and chemical mechanical polish (CMP) planarizing method for forming planarized low dielectric constant dielectric layer
US6486751B1 (en) * 2000-09-26 2002-11-26 Agere Systems Inc. Increased bandwidth thin film resonator having a columnar structure
JP4559739B2 (ja) * 2002-03-29 2010-10-13 東京エレクトロン株式会社 電子デバイス用材料およびその製造方法
US7087508B2 (en) 2003-10-30 2006-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of improving short channel effect and gate oxide reliability by nitrogen plasma treatment before spacer deposition
US7105886B2 (en) * 2003-11-12 2006-09-12 Freescale Semiconductor, Inc. High K dielectric film
JP4429036B2 (ja) * 2004-02-27 2010-03-10 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US7102191B2 (en) * 2004-03-24 2006-09-05 Micron Technologies, Inc. Memory device with high dielectric constant gate dielectrics and metal floating gates
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US7482616B2 (en) * 2004-05-27 2009-01-27 Samsung Electronics Co., Ltd. Semiconductor devices having phase change memory cells, electronic systems employing the same and methods of fabricating the same
US7485516B2 (en) * 2005-11-21 2009-02-03 International Business Machines Corporation Method of ion implantation of nitrogen into semiconductor substrate prior to oxidation for offset spacer formation
JP2008235636A (ja) * 2007-03-22 2008-10-02 Elpida Memory Inc 半導体装置の製造方法及び半導体装置
KR20090012573A (ko) 2007-07-30 2009-02-04 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7737052B2 (en) * 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7858482B2 (en) 2008-03-31 2010-12-28 Freescale Semiconductor, Inc. Method of forming a semiconductor device using stress memorization
US8193586B2 (en) 2008-08-25 2012-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing structure for high-K metal gate
US20100197124A1 (en) * 2009-02-02 2010-08-05 Samsung Electronics Co., Ltd. Methods of Forming Semiconductor Devices Using Plasma Dehydrogenation and Devices Formed Thereby
CN102087965B (zh) * 2009-12-04 2012-10-03 中芯国际集成电路制造(上海)有限公司 形成栅极结构侧墙的方法
US20110278580A1 (en) * 2010-05-13 2011-11-17 International Business Machines Corporation Methodology for fabricating isotropically source regions of cmos transistors
KR101720721B1 (ko) * 2010-07-02 2017-03-28 삼성전자주식회사 셀 비트 라인과 주변 게이트가 동일한 레벨에서 형성되나, 상이한 스페이서 두께를 가지는 반도체 소자와 그 제조방법, 및 그것을 포함하는 반도체 모듈 및 전자 시스템
US8704230B2 (en) * 2010-08-26 2014-04-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US8824837B2 (en) * 2010-08-26 2014-09-02 The Board Of Trustees Of The Leland Stanford Junior University Integration of optoelectronics with waveguides using interposer layer
US9234276B2 (en) * 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
JP5998101B2 (ja) * 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US10158000B2 (en) * 2013-11-26 2018-12-18 Taiwan Semiconductor Manufacturing Company Limited Low-K dielectric sidewall spacer treatment
KR20160059861A (ko) * 2014-11-19 2016-05-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102224849B1 (ko) 2015-03-24 2021-03-08 삼성전자주식회사 스트레서를 갖는 반도체 소자 및 그 제조 방법
US10361282B2 (en) * 2017-05-08 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a low-K spacer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150249017A1 (en) * 2014-03-03 2015-09-03 Tokyo Electron Limited Spacer material modification to improve k-value and etch properties
US20160307772A1 (en) * 2015-04-15 2016-10-20 Applied Materials, Inc. Spacer formation process with flat top profile

Also Published As

Publication number Publication date
US10361282B2 (en) 2019-07-23
CN108878291B (zh) 2021-08-24
CN108878291A (zh) 2018-11-23
DE102017112746B4 (de) 2023-12-07
TWI669779B (zh) 2019-08-21
US20210028294A1 (en) 2021-01-28
US20180323276A1 (en) 2018-11-08
KR20180123420A (ko) 2018-11-16
KR102003592B1 (ko) 2019-07-24
US20190341466A1 (en) 2019-11-07
TW201843768A (zh) 2018-12-16
US10804373B2 (en) 2020-10-13

Similar Documents

Publication Publication Date Title
DE102017112746B4 (de) Verfahren zum Bilden eines Abstandshalters mit niedrigem k-Wert
DE102018105058B3 (de) Verfahren zur Herstellung von Source-/Drain-Kontakten
DE102017103419B4 (de) Halbleitervorrichtung mit getrennter source-drain-struktur und zugehöriges herstellungsverfahren
DE102014019257B4 (de) Metall-Gate-Struktur und diesbezügliches Fertigungsverfahren
DE102020101301A1 (de) Gatestrukturen für halbleiter-bauelemente
DE102015114644B3 (de) Herstellungsverfahren für eine Halbleiterkomponente und für eine Fin-FET Vorrichtung
DE102017128577B4 (de) Ätzprofilsteuerung von polysiliziumstrukturen von halbleitervorrichtungen
DE102017126043A1 (de) Halbleiter-bauelement und verfahren zu dessen herstellung
DE102019117656A1 (de) Gate structures having interfacial layers
DE102015112832A1 (de) Struktur und Ausbildungsverfahren einer Halbleiterbauelementstruktur mit Gatestapel
DE102020111602B4 (de) Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür
DE102017113681A1 (de) Halbleiter-bauelement mit luft-abstandshalter
DE102019125922B3 (de) Verfahren zum dotieren von high-k/metall-gates zum einstellen von schwellspannungen
DE102020100795A1 (de) Austrittsarbeitsschichten für transistor-gate-elektroden
DE102019125773A1 (de) Verfahren zur herstellung von halbleitervorrichtungen und halbleitervorrichtungen
DE102020121265A1 (de) Struktur und Verfahren zur Leckage-Verhinderung
DE102017120267A1 (de) Verfahren zur herstellung von source/drain-kontakt in halbleitervorrichtungen
DE102021110710A1 (de) Nanoschicht-feldeffekttransistorvorrichtung und herstellungsverfahren
DE102021109456A1 (de) Verfahren zum bilden einer halbleitervorrichtung
DE102019126285B4 (de) Steuerung von Schwellenspannungen durch Blockierschichten
DE102011005718B4 (de) Verfahren zum Verringern der Äquivalenzdicke von Dielektriika mit großem ε in Feldeffekttranistoren durch Ausführen eines Ausheizprozesses bei geringer Temperatur
DE102012223653A1 (de) Mosfet mit V-Nut-Source/Drain-Zone und Verfahren zur Herstellung desselben
DE102019113425A1 (de) Finfet-aufbau und verfahren mit reduzierter finnenknickung
DE102019128758A1 (de) Verfahren zur herstellung von halbleitervorrichtungen undhalbleitervorrichtungen
DE102020131030A1 (de) Siliziumkanal-anlassen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R082 Change of representative

Representative=s name: BOEHMERT & BOEHMERT ANWALTSPARTNERSCHAFT MBB -, DE

R016 Response to examination communication
R018 Grant decision by examination section/examining division