CN108878291A - 形成低k间隔件的方法 - Google Patents

形成低k间隔件的方法 Download PDF

Info

Publication number
CN108878291A
CN108878291A CN201710968550.6A CN201710968550A CN108878291A CN 108878291 A CN108878291 A CN 108878291A CN 201710968550 A CN201710968550 A CN 201710968550A CN 108878291 A CN108878291 A CN 108878291A
Authority
CN
China
Prior art keywords
spacer
gate stack
layer
sidewall surfaces
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710968550.6A
Other languages
English (en)
Other versions
CN108878291B (zh
Inventor
康秀瑜
陈竑暐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108878291A publication Critical patent/CN108878291A/zh
Application granted granted Critical
Publication of CN108878291B publication Critical patent/CN108878291B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

本发明涉及形成低k间隔件。例如,本发明包括形成低k间隔件的示例性方法。该方法包括沉积低k间隔件,并且接着用等离子体和/或热退火处理低k间隔件。可以在从衬底突出的结构上沉积低k间隔件。对低k间隔件实施的等离子体和/或热退火处理可以降低间隔件的蚀刻速率,从而使得间隔件在后续的蚀刻或清洁工艺中被较少地蚀刻。

Description

形成低K间隔件的方法
技术领域
本发明的实施例涉及形成低k间隔件的方法。
背景技术
随着半导体技术的进步,半导体器件的性能提高。例如,互补金属氧化物半导体(CMOS)晶体管随着每个新一代半导体技术发展得越来越快。提高CMOS晶体管速度的一种方法是减少器件的延迟。例如,降低CMOS晶体管的电阻-电容(“RC”)延迟提高了速度。
降低晶体管的RC延迟的一个考虑是使用具有低介电常数(“k值”)的电介质。这种电介质通常称为“低k电介质”。例如,使用低k电介质作为围绕CMOS栅极结构的间隔件可以降低CMOS栅极和CMOS晶体管的周围部分之间的电容。随着电介质电容的降低,可以减小晶体管的RC延迟。
发明内容
本发明的实施例提供了一种形成间隔件的方法,包括:在衬底上形成栅极堆叠件,其中,所述栅极堆叠件包括上表面和侧壁表面;在所述栅极堆叠件的上表面和侧壁表面上形成第一间隔件;在所述第一间隔件上方形成第二间隔件;在第一温度下利用等离子体处理所述第二间隔件第一时间段;在第二温度下利用气体处理所述第二间隔件第二时间段;以及去除形成在所述栅极堆叠件的上表面上方的所述第一间隔件的部分和所述第二间隔件的部分。
本发明的另一实施例提供了一种形成间隔件的方法,包括:在衬底上形成栅极堆叠件,其中,所述栅极堆叠件具有上表面和侧壁表面;在所述栅极堆叠件的侧壁表面上形成自然氧化物;在第一温度下利用第一原子层沉积(ALD)工艺沉积第一间隔件并且所述沉积持续第一时间段,其中,所述第一间隔件覆盖所述栅极堆叠件的上表面和侧壁表面;在所述第一间隔件上方形成第二间隔件,其中,形成所述第二间隔件包括:在第二温度下利用第二原子层沉积工艺沉积所述第二间隔件并且所述沉积持续第二时间段;在第三温度下用氦(He)等离子体处理所述第二间隔件并且所述处理持续第三个时间段;以及在第四温度下用氮气(N2)气体退火所述第二间隔件并且所述退火持续第四时间段;以及去除位于所述栅极堆叠件的上表面上方的所述第一间隔件的部分和所述第二间隔件的部分。
本发明的又一实施例提供了一种形成具有调整的蚀刻速率特性的间隔件的方法,所述方法包括:在衬底上形成突出结构,其中,所述突出结构具有上表面和侧壁表面;利用原子层沉积(ALD)工艺沉积间隔件,其中,所述间隔件覆盖所述突出结构的上表面和侧壁表面;利用氦(He)等离子体处理所述间隔件;利用氮气(N2)气体退火所述间隔件;以及去除形成在所述突出结构的上表面上方的所述间隔件的部分。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳地理解本发明的各个方面。应该注意,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增大或减小。
图1A至图1K是根据一些实施例的在形成低k间隔件期间的半导体器件的截面图。
图2A至图2C是根据一些实施例的在形成具有不同的蚀刻量的低k间隔件期间的半导体器件的截面图。
图3是根据一些实施例的在FinFET器件上形成低k间隔件期间的半导体器件的截面图。
图4是根据一些实施例的形成间隔件的方法的流程图。
图5是根据一些实施例的形成间隔件的方法的流程图。
现在将参考附图描述示例性实施例。在图中,类似的参考标号一般表示相同、功能类似和/或结构类似的元件。
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。此外,本发明可在各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为了便于描述,在此可以使用诸如“在...上”、“在...中”、“在...上方”、“在...下方”、“在...下面”、“下部”、“在...之上”、“上部”、“顶部”、“底部”等空间相对术语以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),并且在此使用的空间相对描述符可以同样地作出相应的解释。
应当注意,在说明书中引用“一个实施例”、“实施例”、“示例性实施例”、“实例”等作为参考说明,所描述的实施例可包括特定部件、结构或特性,但是每个实施例可能没有必要包括这种特定部件、结构或特征。此外,这种短语不一定指的是相同的实施例。此外,当结合实施例描述特定的部件、结构或特性时,无论是否明确描述,结合其他实施例实现这种部件、结构或特性在本领域技术人员的知识范围内。
应当理解,本文的措辞或术语是为了描述而不是限制的目的,从而使得本说明书的术语或措辞由相关领域的技术人员在本文中根据教导来解释。
如本文所使用的,术语“约”表示给定的量变化±10%的值,除非另有说明。
如本文所使用的,术语“蚀刻速率”是指特定蚀刻化学品中材料的去除速率。去除速率可以通过在特定时间段(例如,分钟、秒或小时)中去除的目标材料的量(例如,厚度)来表征。去除速率可以在没有构建在晶圆的顶部上的特定部件或器件的平坦的晶圆上,或者具有已经形成在晶圆上的结构部件或器件的结构晶圆上表征。术语“湿蚀刻速率”或“WER”是指液相蚀刻剂中的蚀刻速率。术语“干蚀刻速率”是指在气相蚀刻剂或等离子体相蚀刻剂中的蚀刻速率。
如本文所使用的,术语“衬底”描述了在其上添加后续材料层的材料。衬底本身可以被图案化,并且在其顶部上添加的材料也可以被图案化,或者可以在不图案化的情况下保留。此外,例如“衬底”可以是诸如硅、锗、砷化镓或磷化铟的各种各样的半导体材料中的任何材料。可选地,例如,衬底可以是非导电的,诸如玻璃或蓝宝石晶圆。
如本文所使用的,术语“低k”是指低介电常数,并且术语“高k”是指高介电常数。在半导体器件结构和制造工艺的领域中,高k指的是大于SiO2的介电常数(即,大于3.9)的介电常数。另一方面,低k指的是低于SiO2的介电常数(即,低于3.9)的介电常数。在本发明中,术语“低k”也用于当目标材料的k值低于传统材料的k值时的相对环境中。
如本文所使用的,术语“FET”是指鳍式场效应晶体管。FET的一个实例是金属氧化物半导体场效应晶体管(MOSFET)。例如,MOSFET可以是在诸如半导体晶圆的衬底的平坦表面中和上创建的平面结构或创建的垂直结构。
如本文所使用的,术语“FinFET”是指形成在相对于晶圆的平坦表面垂直定向的鳍上方的FET。FinFET包括鳍有源区、源极和漏极区以及形成在鳍有源区上方的栅极结构。
如本文所使用的,术语“垂直”意味着名义上垂直于衬底的表面。
如本文所使用的,术语“栅极堆叠件”是指用作CMOS晶体管的栅极结构的材料的堆叠件(例如,垂直定向)。本领域普通技术人员将理解,栅极堆叠件至少包括导电层和绝缘层。可以根据器件的设计图案化栅极堆叠件。
如本文所使用的,术语“形成”或“形成的”是指添加或去除一种组件或多种组件的步骤或多个步骤。
图1A至图1F是根据一些实施例的在形成低k间隔件期间的半导体器件的截面图。在衬底上形成低k间隔件。根据一些实施例,衬底可以是硅(Si)晶圆。在一些实施例中,衬底可以具有多个掺杂区,其中每个掺杂区可以包括n型掺杂剂(例如,磷(P)或砷(As))、p型掺杂剂(例如,硼(B))或其他掺杂剂(例如,碳(C))。
参考图1A,在衬底100之上形成介电层101。可以在具有n型掺杂区(例如,n阱)或p型掺杂区(例如,p阱)的衬底100的部分之上形成介电层101。在一些实施例中,可以使用原子层沉积(ALD)工艺和/或其他合适的方法实施形成介电层101。根据一些实施例,介电层101的厚度可以介于之间。
在一些实施例中,介电层101可以是栅极介电层。在一些实施例中,介电层101可以是高k电介质。介电层101可以包括二氧化硅(SiO2)或氧化铪(HfO2),例如,介电层101可以可选地包括诸如氧化钛(TiO2)、氧化铪锆(HfZrO)、氧化钽(Ta2O3)、硅酸铪(HfSiO4)、氧化锆(ZrO2)、硅酸锆(ZrSiO2)、它们的组合或其他合适的材料的其他高k电介质。
参考图1B,可以在介电层101之上形成导电材料层102。在一些实施例中,导电材料层102可以包括掺杂的多晶硅、金属、金属硅化物、金属氮化物或它们的组合。可以在导电材料层102之上形成绝缘材料层103。在一些实施例中,绝缘材料层103可以是在后续工艺期间保护和绝缘导电材料层102的上表面的硬掩模。在一些实施例中,绝缘材料层103可以包括氧化硅(SiOx)、氮化硅(SiNx)、氮氧化硅(SiOxNy)或含碳材料。
参考图1C,可以图案化绝缘材料层103、导电材料层102和介电层101,以形成从衬底100突出的栅极堆叠件104。在一些实施例中,通过使用光刻步骤来限定图案,接着通过根据图案去除不需要的材料的蚀刻步骤来实施图案化栅极堆叠件104。在一些实施例中,在图案化工艺期间,除了栅极堆叠件区域,从衬底100的表面去除介电层101。
在一些实施例中,栅极堆叠件104具有介于约1和10之间的高宽比(例如,高度与宽度之比)。在一些实施例中,栅极堆叠件104具有介于约3和4之间的高宽比。
参考图1D,在形成栅极堆叠件104之后,可以在栅极堆叠件104的侧壁表面和上表面上形成自然氧化物层107。在一些实施例中,当栅极堆叠件104暴露于周围环境时,可通过自发工艺形成自然氧化物层107。
在一些实施例中,自然氧化物层107可以包括诸如第一部分105和第二部分106的多个部分。可以在导电材料层102的侧壁上形成第一部分105。可以在绝缘材料层103的侧壁和上表面上形成第二部分106。在一些实施例中,自然氧化物层107的第一部分105和第二部分106中的每个包括氧化硅(SiOx)。在一些实施例中,自然氧化物层107的第一部分105和第二部分106具有不同的组成和/或不同的厚度。
参考图1E,可以在自然氧化物层107上方形成第一间隔件108。在一些实施例中,通过使用ALD工艺形成第一间隔件108。在一些实施例中,ALD工艺使用硅烷基气体、烃类气体、氨气和氧化气体作为前体。在一些实施例中,硅烷基气体是六氯二硅烷(HCD),烃类气体是丙烯(C3H6),以及氧化气体是氧气(O2)。本领域普通技术人员将理解,用于第一间隔件108的ALD工艺可以使用除上述气体之外的可选前体。
在一些实施例中,用于形成第一间隔件108的ALD工艺可以使用一系列步骤,其中每个步骤使用不同的前体。在一些实施例中,ALD工艺可以包括使用HCD、C3H6、O2和NH3作为前体(例如HCD=>C3H6=>O2=>NH3)的一系列工艺步骤。本领域普通技术人员将理解,用于第一间隔件108的ALD工艺还可以包括不同系列的前体或具有不同前体的不同工艺步骤。在一些实施例中,可以在介于约600℃和650℃(例如630℃)之间的温度下实施3小时至4小时的时间段的ALD工艺。本领域普通技术人员应理解,还可以在高于或低于该温度范围的温度下实施长于或短于3至4小时的时间段的用于第一间隔件108的ALD工艺。
在一些实施例中,第一间隔件108由四种元素组成:硅(Si)、碳(C)、氧(O)和氮(N)。在一些实施例中,这些元素的原子百分比约为Si-33.4%、C-4.6%、O-42.6%和N-19.5%。本领域普通技术人员将理解,第一间隔件108可以包括其他元素,并且这些其他元元素的原子百分比可以与本发明中公开的百分比不同。在一些实施例中,第一间隔件108的厚度介于之间。本领域普通技术人员将理解,根据特定的设计规则或器件规格的要求,第一间隔件108可以比更厚和/或比更薄。在一些实施例中,第一间隔件108的介电常数(k值)介于4.8和5.2之间。在一些实施例中,第一间隔件108的密度介于约2.4和2.5之间(例如2.47)。在一些实施例中,第一间隔件108的击穿电压介于约2.5V和3.5V之间(例如3V)。
本领域普通技术人员将理解,可以在形成第一间隔件108之前实施光刻、注入、清洁和退火工艺步骤。光刻步骤可以限定n型源极/漏极区和p型源极/漏极区。注入步骤可以包括使用用于n型源极/漏极区的n型掺杂剂和用于p型源极/漏极区的p型掺杂剂的源极/漏极注入步骤。在注入步骤之后进行清洁步骤,其中清洁步骤可以使用液相、气相或等离子体相化学品。清洁步骤去除在注入步骤之后的衬底100的表面上的残留掺杂剂。清洁步骤还可以去除在光刻步骤之后残留的光刻胶。在一些实施例中,在注入步骤之后也进行退火工艺以电激活注入的掺杂剂,并且部分或完全地愈合由注入步骤在衬底100上引起的损伤。
参考图1F,在第一间隔件108上方形成第二间隔件109。在一些实施例中,形成第二间隔件109包括沉积第二间隔件109,接着处理第二间隔件109。在一些实施例中,通过使用ALD工艺或化学汽相沉积(CVD)工艺来实施沉积第二间隔件109。在一些实施例中,可以在介于75℃和85℃之间的温度下实施介于400和500分钟之间的时间段的用于形成第二间隔件109的ALD工艺。
例如,用于形成第二间隔件109的ALD工艺可以使用诸如水(H2O)和卡吕普索(CH2Cl6Si2)的多种前体。在一些实施例中,例如,用于形成第二间隔件109的ALD工艺可以使用诸如吡啶(C5H5N)的催化剂。本领域普通技术人员将理解,用于形成第二间隔件的ALD工艺可以使用不同的前体或催化剂。在一些实施例中,用于形成第二间隔件109的ALD工艺可以包括特定数量的循环工艺,并且每个循环工艺可以包括两个步骤:使用卡吕普索作为前体和使用吡啶作为催化剂的的第一步骤;以及使用H2O作为前体和使用吡啶作为催化剂的第二步骤。
在一些实施例中,第二间隔件109的处理包括两个步骤:等离子体处理步骤和热退火步骤。在一些实施例中,等离子体处理步骤使用氦(He)等离子体。在一些实施例中,He等离子体处理使用直接等离子体工艺。直接等离子体工艺是其中晶圆直接暴露于等离子体及其产物的等离子体工艺。在一些实施例中,He等离子体工艺使用介于1000W和2000W之间(例如,1500W)的等离子体功率。在一些实施例中,在介于400℃和500℃之间(例如约450℃)的温度下实施He等离子体处理步骤。在一些实施例中,可以实施介于1分钟和3分钟之间(例如,约2分钟)的时间段的He等离子体处理步骤。本领域普通技术人员将理解,可以在与本发明所公开的那些不同的等离子体功率、不同的温度下实施不同时间段的He等离子体工艺。在一些实施例中,He等离子体工艺可以是远程等离子体工艺。远程等离子体工艺是其中晶圆位于远离等离子体产生的位置的等离子体工艺。
在一些实施例中,热退火步骤使用N2气体或H2气体。在一些实施例中,在介于500℃和700℃之间(例如约600℃)的温度下实施使用N2气体的热退火步骤。在一些实施例中,实施介于25分钟和35分钟之间(例如约30分钟)的时间段的使用N2气体的热退火步骤。在一些实施例中,在大气压下实施使用N2气体的热退火步骤。本领域普通技术人员将理解,可以在与本发明所公开的那些不同的温度下实施不同时间段的使用N2气体的热退火步骤。
在一些实施例中,可以在三个不同工具框架上的三个不同的工艺室中实施沉积第二间隔件109,该沉积包括ALD工艺、等离子体处理步骤和热退火步骤。晶圆可以在步骤之间暴露于周围环境。
在一些实施例中,形成第二间隔件109包括在不将晶圆暴露于周围环境的情况下,在相同的工艺步骤中完成第二间隔件109的沉积、第二间隔件109的第一处理以及第二间隔件109的第二处理的连续工艺。这种工艺通常称为“原位工艺”。在一些实施例中,在不将晶圆暴露于周围环境的情况下,在相同的工艺框架内的单独的工艺室中完成原位工艺。
在一些实施例中,以在约80℃的温度下实施约450分钟的时间段的ALD工艺开始原位工艺。ALD工艺将第二间隔件109沉积在第一间隔件108上方。在一些实施例中,在不将晶圆移出工艺工具框架并且在不将晶圆暴露于周围环境的情况下,将晶圆从ALD工艺室移出至等离子体退火室。然后将晶圆温度升高至约450℃,从而用于等离子体退火室中的等离子体处理步骤。在等离子体退火步骤期间,He等离子体的等离子体功率约为1500W。He等离子体处理步骤的持续时间约为2分钟。在等离子体处理步骤之后,在开始热退火工艺之前将晶圆冷却至约400℃。在一些实施例中,晶圆在等离子体处理步骤之后并且在热退火步骤之前经历介于25至40分钟之间的时间段的冷却。冷却时间段用于修复晶圆,从而用于诸如泵出残余的He、热稳定晶圆以及排出He等离子体处理步骤期间产生的污染物和产物的下一个热退火工艺。在一些实施例中,在不将晶圆移出工艺工具框架并且在不将晶圆暴露于周围环境的情况下,将晶圆从等离子体退火室移出至热退火室,从而用于热退火步骤。在一些实施例中,晶圆停留在等离子体退火室中,从而用于热退火步骤。在一些实施例中,热退火工艺在N2环境或H2环境中将晶圆加热至约600℃。在一些实施例中,热退火工艺持续约30分钟。
在一些实施例中,第二间隔件109包括Si、O和C的组合物。在一些实施例中,元素的原子百分比约为Si-26.3%、C-10.6%和O-57.1%。本领域普通技术人员将理解,第二间隔件109可以包括除了本发明中公开的那些之外的元素,并且元素的原子百分比可以不同于本发明中公开的百分比。在一些实施例中,第二间隔件109的厚度介于之间(例如约)。本领域普通技术人员将理解,第二间隔件109可以比该范围更厚或更薄。在一些实施例中,第二间隔件109的密度为约2.05。在一些实施例中,第二间隔件109的击穿电压为3.5V或更高。在一些实施例中,第二间隔件109的介电常数(k值)介于3.5和4.1之间(例如约3.8)。
在形成第一间隔件之后但在形成第二间隔件109之前,本领域普通技术人员将会理解,可以发生光刻、注入、光刻、清洁和退火工艺步骤。光刻步骤可以限定n型源极/漏极区和p型源极/漏极区。注入步骤可以包括使用n型掺杂剂形成n型源极/漏极区和使用p型掺杂剂形成p型源极/漏极区的步骤。在一些实施例中,在注入步骤之前通过光刻步骤限定n型LDD区和p型LDD区。在注入步骤之后进行清洁步骤,其中清洁步骤可以使用液相、气相或等离子体相化学品。清洁步骤去除留在第一间隔件108的表面上的残留掺杂剂。清洁步骤还可以去除光刻步骤之后残留的光刻胶。在一些实施例中,在注入步骤之后进行退火工艺以电激活注入的掺杂剂,并且部分或完全地愈合由注入步骤在衬底100上引起的损伤。
参考图1G,在第二间隔件109上形成一层牺牲绝缘材料110。在一些实施例中,牺牲绝缘材料110包括氮化硅(SiNx)。在一些实施例中,牺牲绝缘材料110由ALD工艺或CVD工艺形成。牺牲绝缘材料110的目的是在清洁步骤期间保护第二间隔件109和第一间隔件108。在一些实施例中,在后续的工艺步骤中去除牺牲绝缘材料110。
参考图1H,实施光刻步骤以暴露源极/漏极区111。如图1H所示,未暴露的区域覆盖有光刻胶112。在一些实施例中,在光刻步骤之后但在后续的外延步骤之前实施清洁步骤。清洁步骤的目的是调节源极/漏极区111的Si表面,从而用于后续的外延步骤。在一些实施例中,清洁步骤使用液相、气相或等离子体相化学品。
在一些实施例中,清洁步骤包括使用气相或等离子体相氟化碳(CF4)和溴化氢(HBr)的第一干清洁步骤。在一些实施例中,在介于45℃和65℃之间的温度下实施使用CF4和HBr的第一干清洁步骤。在一些实施例中,使用CF4和HBr的第一干清洁步骤在垂直方向上蚀刻牺牲绝缘材料110、第二间隔件109和第一间隔件108,并且暴露衬底100的位于源极/漏极区111中的Si表面。在一些实施例中,使用CF4和HBr的第一干清洁步骤进一步蚀刻暴露在源极/漏极区111中的部分Si表面,如图1I所示。本领域普通技术人员将理解,除了CF4和HBr之外的化学品可以用于第一干清洁步骤。
在一些实施例中,清洁步骤还包括使用液相硫酸(H2SO4)和过氧化物(H2O2)的第一湿清洁步骤。在一些实施例中,如图1J所示,第一湿清洁步骤去除光刻胶112。本领域普通技术人员将理解,除了H2SO4之外的化学品可以用于第一湿清洁步骤。
在一些实施例中,清洁步骤包括使用气相或等离子体相氟化氮(NF3)和氨(NH4)的第二干清洁步骤。在一些实施例中,清洁步骤还包括使用液相HF的第二湿清洁步骤。在一些实施例中,清洁步骤还包括使用气相或等离子体相NF3和NH4的第三干清洁步骤。第二干清洁步骤、第二湿清洁步骤和第三干清洁步骤的目的是去除在先前步骤中形成的残留副产物、自然氧化物和低品质Si,以制备源极/漏极区111的表面,从而用于外延步骤。
参考图1K,根据一些实施例,去除形成在栅极堆叠件104的上表面上方的第一间隔件108和第二间隔件109的上部。在一些实施例中,第一间隔件128是在去除第一间隔件108的上部之后的第一间隔件108的部分,并且第二间隔件129是在去除第二间隔件109的上部之后的第二间隔件109的部分。在一些实施例中,使用一系列工艺步骤,用金属栅极堆叠件127替换栅极堆叠件104。在一些实施例中,该一系列工艺步骤包括膜沉积步骤、化学机械平坦化(CMP)步骤、干蚀刻步骤、湿蚀刻步骤、清洁步骤、注入步骤、等离子体和热处理步骤以及光刻步骤。在一些实施例中,金属栅极堆叠件127包括栅极介电层121、金属层122和绝缘层123。在一些实施例中,介电层121包括氧化铪(HfO2)。在一些实施例中,例如,介电层121可以可选地包括诸如TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、它们的组合或其他合适的材料的其他高k电介质。在一些实施例中,使用ALD工艺和/或其他合适的方法实施形成介电层121。在一些实施例中,栅极堆叠件127的侧壁与自然氧化物126接触。在一些实施例中,自然氧化物126与第一间隔件128接触。在一些实施例中,第一间隔件128进一步与第二间隔件129接触。自然氧化物126的一个实例是上面在图1D中描述的自然氧化物107。
在一些实施例中,金属层122包括具有功函数值的金属,从而使得获得金属栅极堆叠件127的期望的阈值电压Vt。在一些实施例中,例如,金属层122可以是诸如钛(Ti)、银(Ag)、钽铝(TaAl)、碳化钽铝(TaAlC)、氮化钛铝(TiAlN)、碳化钽(TaC)、碳氮化钽(TaCN)、氮化钽硅(TaSiN)、锰(Mn)、锆(Zr)、其他合适的n型功函数材料或它们的组合的n型功函数金属。在一些实施例中,例如,金属层122可以是诸如氮化钛(TiN)、氮化钽(TaN)、钌(Ru)、钼(Mo)、Al、氮化钨(WN)、硅化锆(ZrSi2)、硅化钼(MoSi2)、硅化钽(TaSi2)、硅化镍(NiSi2)、其他合适的p型功函数材料或它们的组合的p型功函数金属。在一些实施例中,通过CVD、PVD和/或其他合适的工艺沉积金属栅极层122。
在一些实施例中,绝缘层123包括氧化硅(SiOx)、氮化硅(SiNx)和/或其他合适的材料或它们的组合。在一些实施例中,可以通过CVD、PVD、ALD和/或其他合适的工艺沉积绝缘层123。
在一些实施例中,栅极堆叠件127具有介于约1和10之间的高宽比(例如,高度与宽度之比)。在一些实施例中,栅极堆叠件127具有介于约3和4之间的高宽比。
图2A至图2C是根据一些实施例的在形成具有不同的蚀刻量的低k间隔件期间的半导体器件的截面图。在一些实施例中,低k间隔件在蚀刻化学品中具有低蚀刻速率。因此,低k间隔件由于其低蚀刻速率而可以在整个清洁和蚀刻步骤中保持其形状。
参考图2A,栅极堆叠件201包括位于侧壁表面上的自然氧化物203、第一间隔件204和第二间隔件205。在一些实施例中,栅极堆叠件201包括栅极电介质、绝缘材料和导电材料(图中未示出)。如果在形成之后,栅极堆叠件201以及间隔件204和205不经历其他工艺(例如,蚀刻工艺和清洁工艺),则间隔件保持其形状并且间隔件204和205的顶部与栅极堆叠件201的顶部对准,如图2A所示。
然而,栅极堆叠件201和间隔件204和205在它们形成之后经历许多后续工艺。后续工艺将栅极堆叠件以及间隔件204和205暴露于蚀刻化学品和清洁化学品,当暴露于这些化学品时可以引起第二间隔件205的蚀刻。结果,在后续工艺期间第二间隔件205的部分丢失,如图2B所示。第二间隔件205的丢失部分标记为部分206。第二间隔件205在蚀刻中的蚀刻速率和在后续工艺中使用的清洁化学品影响在这些工艺期间丢失的第二间隔件205的部分206。
参考图2C,可以使用本发明中公开的方法来形成具有低蚀刻速率的第二间隔件207。如图2C所示,由于后续工艺期间使用的蚀刻和清洁化学品,丢失第二间隔件207的部分208。在一些实施例中,由于其蚀刻速率降低,第二间隔件207的部分208具有比图2B所示的第二间隔件205的部分206更短的垂直长度。
图3是根据一些实施例的在FinFET器件上形成低k间隔件期间的半导体器件的截面图。参考图3,在衬底300上方形成金属栅极层306。在一些实施例中,衬底300可以是鳍有源区。在一些实施例中,通过去除伪栅极结构,接着沉积金属栅极层306以填充通过去除伪栅极结构而形成的沟槽来形成金属栅极层306。可以在衬底300的表面上形成栅极介电层。在一些实施例中,栅极介电层包括界面层301。根据一些实施例,界面层301可以包括通过衬底300的表面的热氧化形成的诸如氧化硅层的氧化物层。在一些实施例中,栅极介电层还包括高k介电层302。高k介电材料的介电常数(k值)高于约3.9,并且可高于约7.0。例如,高k介电层302可以包括诸如氧化铪(HfO2)、氧化钛(TiO2)、氧化铪锆(HfZrO)、氧化钽(Ta2O3)、硅酸铪(HfSiO4)、氧化锆(ZrO2)、硅酸锆(ZrSiO2)、它们的组合或其他合适的材料。
在一些实施例中,在栅极介电层上方形成堆叠层303。堆叠层303可以包括扩散阻挡层和位于扩散阻挡层上方的一个(或多个)功函数层。扩散阻挡层可以由氮化钛(TiN)或氮化钛硅(TiSiN)形成。功函数层确定栅极的功函数,并且包括由不同材料形成的至少一层或多层。根据相应的FinFET是n型FinFET还是p型FinFET来选择功函数层的材料。对于n型FinFET,功函数层可以包括TiN层、钛铝(TiAl)层和/或碳化钛铝(TiAlC)层。对于p型FinFET,功函数层可以包括TiN层和/或TiAl层。在一些实施例中,堆叠层303可以包括位于功函数层上方的另一阻挡层(例如TiN)。
在一些实施例中,金属栅极层306形成在堆叠层303上方,并且可以包括钨(W)、钴(Co)、镍(Ni)或钌(Ru)。第一间隔件304形成在金属栅极层306的侧面上方,并且第二间隔件305形成在第一间隔件304上。在一些实施例中,在第二间隔件305的侧面上方形成层间电介质(ILD)307。在一些实施例中,ILD 307可以是诸如氧化物的含氧电介质。
在一些实施例中,使用与第一间隔件128类似的方法形成第一间隔件304,并且使用与第二间隔件129类似的方法形成第二间隔件305,如图1K所述。类似于第二间隔件129,第二间隔件305是在蚀刻和清洁化学品中具有降低的蚀刻速率的低k间隔件。结果,减少了后续蚀刻和清洁工艺期间的第二间隔件305的损失,并且保留了第二间隔件305的形状。
图4是根据一些实施例的形成间隔件的方法400的流程图。基于本文的公开内容,本领域普通技术人员将意识到可以实施方法400中的其他操作。此外,本领域普通技术人员将意识到,可以以不同的顺序和/或变化实施方法400的操作。
在操作401处,在半导体结构上和/或内形成栅极堆叠件。半导体结构可以包括块状硅(例如,掺杂或未掺杂的硅)或绝缘体上硅(SOI)衬底的有源层。例如,半导体结构可以包括诸如硅、锗、硅锗、绝缘体上硅锗(SGOI)或它们的组合的半导体材料。可以在半导体衬底上和/或内形成有源器件。例如,可以形成诸如晶体管、二极管、电容器、电阻器、电感器等的有源器件和无源器件。
在一些实施例中,例如,半导体结构包括隔离结构、绝缘体层和/或诸如鳍的凸起部件。可以使用包括光刻和蚀刻工艺的合适工艺来制造鳍。例如,隔离结构可以由介电材料制成,并且可以包括诸如具有一个或多个衬垫层的结构的多层结构。在一些实施例中,可以通过沉积绝缘体材料并实施后续的光刻和蚀刻工艺来形成可选的绝缘体层。
在一些实施例中,栅极堆叠件包括栅极绝缘层、导电层和盖绝缘层。栅极绝缘层可以包括二氧化硅(SiO2)或高k电介质。例如,高k电介质可以包括诸如氧化铪(HfO2)、氧化钛(TiO2)、氧化铪锆(HfZrO)、氧化钽(Ta2O3)、硅酸铪(HfSiO4)、氧化锆(ZrO2)、硅酸锆(ZrSiO2)、它们的组合或其他合适的材料。
在一些实施例中,导电层可以包括掺杂的多晶硅、金属、金属硅化物、金属氮化物或它们的组合。在一些实施例中,盖绝缘层可以包括氧化硅(SiOx)、氮化硅(SiNx)、氮氧化硅(SiOxNy)或含碳材料。
在一些实施例中,栅极堆叠件是牺牲栅极堆叠件,并且稍后被金属栅极堆叠件替换。在一些实施例中,金属栅极堆叠件包括栅极介电层、金属层和绝缘层。在一些实施例中,例如,金属层包括诸如钛(Ti)、银(Ag)、钽铝(TaAl)、碳化钽铝(TaAlC)、氮化钛铝(TiAlN)、碳化钽(TaC)、碳氮化钽(TaCN)、氮化钽硅(TaSiN)、锰(Mn)、锆(Zr)、其他合适的n型功函数材料或它们的组合的n型功函数金属。在一些实施例中,例如,金属层包括诸如氮化钛(TiN)、氮化钽(TaN)、钌(Ru)、钼(Mo)、Al、氮化钨(WN)、硅化锆(ZrSi2)、硅化钼(MoSi2)、硅化钽(TaSi2)、硅化镍(NiSi2)、其他合适的p型功函数材料或它们的组合的p型功函数金属。
在操作402处,在栅极堆叠件的上表面和侧壁表面上形成第一间隔件。在一些实施例中,通过使用ALD工艺形成第一间隔件。在一些实施例中,可以在介于约600℃和650℃之间(例如630℃)的温度下实施3小时至4小时的时间段的ALD工艺。在一些实施例中,第一间隔件由四种元素组成:硅(Si)、碳(C)、氧(O)和氮(N)。在一些实施例中,第一间隔件的厚度介于之间。在一些实施例中,第一间隔件的介电常数(k值)介于4.8和5.2之间。
在操作403处,在第一间隔件上方形成第二间隔件。在一些实施例中,通过使用ALD工艺或化学汽相沉积(CVD)工艺来实施形成第二间隔件。在一些实施例中,可以在介于75℃和85℃之间的温度下实施介于400和500分钟之间的时间段的用于形成第二间隔件的ALD工艺。在一些实施例中,第二间隔件由三种元素组成:硅(Si)、碳(C)和氧(O)。在一些实施例中,第二间隔件的厚度介于之间。
在操作404处,用等离子体处理第二间隔件。在一些实施例中,等离子体处理步骤使用氦(He)等离子体。在一些实施例中,He等离子体处理使用直接等离子体工艺。在一些实施例中,He等离子体工艺使用介于1000W和2000W之间(例如,1500W)的等离子体功率。在一些实施例中,在介于400℃和500℃之间(例如约450℃)的温度下实施He等离子体处理步骤。在一些实施例中,实施介于1分钟和3分钟之间(例如,约2分钟)的时间段的He等离子体处理步骤。
在操作405处,在高温下用气体处理第二间隔件。在一些实施例中,用气体处理是使用N2气体或H2气体的热退火工艺。在一些实施例中,热退火工艺可以使用N2气体,并且可以在介于500℃和700℃之间(例如约600℃)的温度下实施介于25分钟和35分钟之间(例如,约30分钟)的时间段。可以在大气压下实施使用N2气体的热退火步骤。本领域普通技术人员将理解,可以在与本发明所公开的那些不同的温度处实施不同的时间段的使用N2气体的热退火步骤。
在一些实施例中,在操作304和305所讨论的处理之后,第二间隔件的介电常数介于约3.8和3.9之间。
图5是根据一些实施例的形成间隔件的方法500的流程图。基于本文的公开内容,本领域普通技术人员将意识到,可以实施方法500中的其他操作。此外,本领域普通技术人员将意识到,可以以不同的顺序和/或变化实施方法500的操作。
在操作501处,在半导体结构上和/或内形成栅极堆叠件。半导体结构可以包括块状硅(例如,掺杂或未掺杂的硅)或绝缘体上硅(SOI)衬底的有源层。例如,半导体结构可以包括诸如硅、锗、硅锗、绝缘体上硅锗(SGOI)或它们的组合的半导体材料。可以在半导体衬底上和/或内形成有源器件。例如,可以形成诸如晶体管、二极管、电容器、电阻器、电感器等的有源器件和无源器件。
在一些实施例中,例如,半导体结构包括隔离结构、绝缘体层和/或诸如鳍的凸起部件。可以使用包括光刻和蚀刻工艺的合适工艺来制造鳍。例如,隔离结构可以由介电材料制成,并且可以包括诸如具有一个或多个衬垫层的结构的多层结构。在一些实施例中,可以通过沉积绝缘体材料并实施后续的光刻和蚀刻工艺来形成可选的绝缘体层。
在一些实施例中,栅极堆叠件包括栅极绝缘层、导电层和盖绝缘层。栅极绝缘层可以包括二氧化硅(SiO2)或高k电介质。例如,高k电介质可以包括诸如氧化铪(HfO2)、氧化钛(TiO2)、氧化铪锆(HfZrO)、氧化钽(Ta2O3)、硅酸铪(HfSiO4)、氧化锆(ZrO2)、硅酸锆(ZrSiO2)、它们的组合或其他合适的材料。
在一些实施例中,导电层可以包括掺杂的多晶硅、金属、金属硅化物、金属氮化物或它们的组合。在一些实施例中,盖绝缘层可以包括氧化硅(SiOx)、氮化硅(SiNx)、氮氧化硅(SiOxNy)或含碳材料。
在一些实施例中,栅极堆叠件是牺牲栅极堆叠件,并且稍后被金属栅极堆叠件替换。在一些实施例中,金属栅极堆叠件包括栅极介电层、金属层和绝缘层。在一些实施例中,例如,金属层包括诸如钛(Ti)、银(Ag)、钽铝(TaAl)、碳化钽铝(TaAlC)、氮化钛铝(TiAlN)、碳化钽(TaC)、碳氮化钽(TaCN)、氮化钽硅(TaSiN)、锰(Mn)、锆(Zr)、其他合适的n型功函数材料或它们的组合的n型功函数金属。在一些实施例中,例如,金属层包括诸如氮化钛(TiN)、氮化钽(TaN)、钌(Ru)、钼(Mo)、Al、氮化钨(WN)、硅化锆(ZrSi2)、硅化钼(MoSi2)、硅化钽(TaSi2)、硅化镍(NiSi2)、其他合适的p型功函数材料或它们的组合的p型功函数金属。
在操作502处,在栅极堆叠件的侧壁表面上形成自然氧化物。在一些实施例中,当栅极堆叠件暴露于周围环境时,可通过自发过程形成自然氧化物。在一些实施例中,自然氧化物包括SiO2
在操作503处,通过第一ALD工艺在栅极堆叠件的上表面和侧壁表面上沉积第一间隔件。在一些实施例中,可以在介于约600℃和650℃之间(例如630℃)的温度下实施3小时至4小时的时间段的ALD工艺。在一些实施例中,第一间隔件由四种元素组成:硅(Si)、碳(C)、氧(O)和氮(N)。在一些实施例中,第一间隔件的厚度介于之间。在一些实施例中,第一间隔件的介电常数(k值)介于4.8和5.2之间。
在操作504处,使用第二ALD工艺在第一间隔件上方沉积第二间隔件。在一些实施例中,在介于75℃和85℃之间的温度下实施介于400和500分钟之间的时间段的用于沉积第二间隔件的第二ALD工艺。在一些实施例中,第二间隔件由三种元素组成:硅(Si)、碳(C)和氧(O)。在一些实施例中,第二间隔件的厚度介于之间。
在操作505处,用He等离子体处理第二间隔件。在一些实施例中,He等离子体处理使用直接等离子体工艺。在一些实施例中,He等离子体工艺使用介于1000W和2000W之间(例如,1500W)的等离子体功率。在一些实施例中,在介于400℃和500℃之间(例如约450℃)的温度下实施He等离子体处理步骤。在一些实施例中,实施介于1分钟和3分钟之间(例如,约2分钟)的时间段的He等离子体处理步骤。
在操作506处,在高温下用N2气体处理第二间隔件。在一些实施例中,用N2气体处理是在介于500℃和700℃之间(例如约600℃)的温度下实施介于25分钟和35分钟之间(例如,约30分钟)的热退火工艺。在一些实施例中,可以在大气压下实施使用N2气体的热退火步骤。本领域普通技术人员将理解,可以在与本发明所公开的那些不同的温度处实施不同的时间段的使用N2气体的热退火步骤。
在一些实施例中,在操作405和406中讨论的处理之后,第二间隔件的介电常数介于约3.8和3.9之间。
通过本发明中的方法形成的间隔件提供了具有低k值和在湿蚀刻工艺和干蚀刻工艺中的降低的蚀刻速率的间隔件。低k值有助于降低器件的电阻-电容(RC)延迟,并有助于提高这些器件的速度。另一方面,湿蚀刻速率和干蚀刻速率的降低有助于在涉及湿蚀刻和干蚀刻工艺的后续工艺期间减少间隔件的损失。间隔件的损失中的这种减少有助于保持间隔件的垂直和水平方向上的形状,从而提高器件的产量和可靠性。
在一些实施例中,形成间隔件的方法包括提供具有栅极堆叠件的结构。栅极堆叠件包括上表面和侧壁表面。栅极堆叠件还包括栅极介电层、导电层和盖绝缘层。在栅极堆叠件的上表面和侧壁表面上形成第一间隔件。在第一间隔件上形成第二间隔件。形成后,首先用等离子体处理,然后用气体处理第二间隔件。
在上述方法中,还包括用金属栅极堆叠件替换所述栅极堆叠件,其中,所述金属栅极堆叠件形成在鳍有源区上方。
在上述方法中,其中,形成所述第一间隔件包括利用原子层沉积(ALD)工艺沉积所述第一间隔件。
在上述方法中,其中,所述第一间隔件包括硅(Si)、氧(O)、氮(N)和碳(C)。
在上述方法中,其中,形成所述第二间隔件包括用原子层沉积工艺沉积所述第二间隔件。
在上述方法中,其中,所述第二间隔件包括硅(Si)、氧(O)和碳(C)。
在上述方法中,其中,所述第二间隔件的介电常数小于4.0。
在上述方法中,其中,所述第一间隔件和所述第二间隔件均具有介于之间的厚度。
在上述方法中,其中,所述等离子体包括氦(He)等离子体。
在上述方法中,其中,所述气体包括氮气(N2)或氢气(H2)。
在上述方法中,其中,所述第二温度高于所述第一温度。
在上述方法中,其中,所述栅极堆叠件包括金属层和介电层。
在一些实施例中,形成间隔件的方法包括提供具有栅极堆叠件的结构。栅极堆叠件包括上表面和侧壁表面。栅极堆叠件还包括栅极介电层、导电层和盖绝缘层。使用第一ALD工艺在栅极堆叠件的上表面和侧壁表面上沉积第一间隔件。使用第二ALD工艺在第一间隔件上沉积第二间隔件。沉积后,首先用等离子体处理,然后用气体处理第二间隔件。
在上述方法中,其中,所述第二间隔件包括硅(Si)、氧(O)和碳(C)。
在上述方法中,其中,所述第二间隔件的介电常数小于4.0。
在上述方法中,其中,所述第一间隔件和所述第二间隔件均具有介于之间的厚度。
在一些实施例中,形成间隔件的方法包括提供具有突出结构的结构。突出结构包括上表面和侧壁表面。利用ALD工艺在突出结构的上表面和侧壁表面上沉积间隔件。沉积后,首先用He等离子体处理,然后用N2气体退火间隔件。
在上述方法中,其中,所述间隔件的厚度介于之间,并且介电常数小于4.0。
在上述方法中,其中,利用He等离子体处理所述间隔件包括在所述间隔件中形成Si-O-Si-C笼连接键。
在上述方法中,其中,所述间隔件包括硅(Si)、氧(O)和碳(C)。
应当理解,详细描述部分(不是总结和摘要部分)旨在用于解释权利要求。总结和摘要部分可以阐述发明人所设想的本发明的一个或多个但不是全部的示例性实施例,因此,不旨在以任何方式限制本发明和所附权利要求。
上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的各方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与在此所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,在此他们可以做出多种变化、替换以及改变。

Claims (10)

1.一种形成间隔件的方法,包括:
在衬底上形成栅极堆叠件,其中,所述栅极堆叠件包括上表面和侧壁表面;
在所述栅极堆叠件的上表面和侧壁表面上形成第一间隔件;
在所述第一间隔件上方形成第二间隔件;
在第一温度下利用等离子体处理所述第二间隔件第一时间段;
在第二温度下利用气体处理所述第二间隔件第二时间段;以及
去除形成在所述栅极堆叠件的上表面上方的所述第一间隔件的部分和所述第二间隔件的部分。
2.根据权利要求1所述的方法,还包括用金属栅极堆叠件替换所述栅极堆叠件,其中,所述金属栅极堆叠件形成在鳍有源区上方。
3.根据权利要求1所述的方法,其中,形成所述第一间隔件包括利用原子层沉积(ALD)工艺沉积所述第一间隔件。
4.根据权利要求1所述的方法,其中,所述第一间隔件包括硅(Si)、氧(O)、氮(N)和碳(C)。
5.根据权利要求1所述的方法,其中,形成所述第二间隔件包括用原子层沉积工艺沉积所述第二间隔件。
6.根据权利要求1所述的方法,其中,所述第二间隔件包括硅(Si)、氧(O)和碳(C)。
7.根据权利要求1所述的方法,其中,所述第二间隔件的介电常数小于4.0。
8.根据权利要求1所述的方法,其中,所述第一间隔件和所述第二间隔件均具有介于之间的厚度。
9.一种形成间隔件的方法,包括:
在衬底上形成栅极堆叠件,其中,所述栅极堆叠件具有上表面和侧壁表面;
在所述栅极堆叠件的侧壁表面上形成自然氧化物;
在第一温度下利用第一原子层沉积(ALD)工艺沉积第一间隔件并且所述沉积持续第一时间段,其中,所述第一间隔件覆盖所述栅极堆叠件的上表面和侧壁表面;
在所述第一间隔件上方形成第二间隔件,其中,形成所述第二间隔件包括:
在第二温度下利用第二原子层沉积工艺沉积所述第二间隔件并且所述沉积持续第二时间段;
在第三温度下用氦(He)等离子体处理所述第二间隔件并且所述处理持续第三个时间段;以及
在第四温度下用氮气(N2)气体退火所述第二间隔件并且所述退火持续第四时间段;以及
去除位于所述栅极堆叠件的上表面上方的所述第一间隔件的部分和所述第二间隔件的部分。
10.一种形成具有调整的蚀刻速率特性的间隔件的方法,所述方法包括:
在衬底上形成突出结构,其中,所述突出结构具有上表面和侧壁表面;
利用原子层沉积(ALD)工艺沉积间隔件,其中,所述间隔件覆盖所述突出结构的上表面和侧壁表面;
利用氦(He)等离子体处理所述间隔件;
利用氮气(N2)气体退火所述间隔件;以及
去除形成在所述突出结构的上表面上方的所述间隔件的部分。
CN201710968550.6A 2017-05-08 2017-10-18 形成低k间隔件的方法 Active CN108878291B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/589,659 2017-05-08
US15/589,659 US10361282B2 (en) 2017-05-08 2017-05-08 Method for forming a low-K spacer

Publications (2)

Publication Number Publication Date
CN108878291A true CN108878291A (zh) 2018-11-23
CN108878291B CN108878291B (zh) 2021-08-24

Family

ID=63895671

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710968550.6A Active CN108878291B (zh) 2017-05-08 2017-10-18 形成低k间隔件的方法

Country Status (5)

Country Link
US (3) US10361282B2 (zh)
KR (1) KR102003592B1 (zh)
CN (1) CN108878291B (zh)
DE (1) DE102017112746B4 (zh)
TW (1) TWI669779B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10361282B2 (en) * 2017-05-08 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a low-K spacer
US10510852B2 (en) * 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k feature formation processes and structures formed thereby
JP7077108B2 (ja) * 2018-04-05 2022-05-30 東京エレクトロン株式会社 被加工物の処理方法
US10700180B2 (en) 2018-07-27 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10879373B2 (en) * 2019-04-23 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US20220013655A1 (en) * 2020-07-10 2022-01-13 Changxin Memory Technologies, Inc. Semiconductor device and method for preparing same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4859573A (en) * 1984-08-13 1989-08-22 Ncr Corporation Multiple photoresist layer process using selective hardening
US20050263829A1 (en) * 2004-05-27 2005-12-01 Yoon-Jong Song Semiconductor devices having phase change memory cells, electronic systems employing the same and methods of fabricating the same
CN102087965A (zh) * 2009-12-04 2011-06-08 中芯国际集成电路制造(上海)有限公司 形成栅极结构侧墙的方法
TWI406414B (zh) * 2008-08-25 2013-08-21 Taiwan Semiconductor Mfg 半導體裝置及其製造方法
US20150249017A1 (en) * 2014-03-03 2015-09-03 Tokyo Electron Limited Spacer material modification to improve k-value and etch properties

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976979A (en) * 1997-06-10 1999-11-02 Industrial Technology Research Institute Sequential oxygen plasma treatment and chemical mechanical polish (CMP) planarizing method for forming planarized low dielectric constant dielectric layer
US6486751B1 (en) * 2000-09-26 2002-11-26 Agere Systems Inc. Increased bandwidth thin film resonator having a columnar structure
WO2003088345A1 (fr) * 2002-03-29 2003-10-23 Tokyo Electron Limited Materiau pour dispositif electronique et procede de fabrication correspondant
US7087508B2 (en) 2003-10-30 2006-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of improving short channel effect and gate oxide reliability by nitrogen plasma treatment before spacer deposition
US7105886B2 (en) * 2003-11-12 2006-09-12 Freescale Semiconductor, Inc. High K dielectric film
JP4429036B2 (ja) * 2004-02-27 2010-03-10 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US7102191B2 (en) * 2004-03-24 2006-09-05 Micron Technologies, Inc. Memory device with high dielectric constant gate dielectrics and metal floating gates
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US7485516B2 (en) * 2005-11-21 2009-02-03 International Business Machines Corporation Method of ion implantation of nitrogen into semiconductor substrate prior to oxidation for offset spacer formation
JP2008235636A (ja) * 2007-03-22 2008-10-02 Elpida Memory Inc 半導体装置の製造方法及び半導体装置
KR20090012573A (ko) 2007-07-30 2009-02-04 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7737052B2 (en) * 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7858482B2 (en) 2008-03-31 2010-12-28 Freescale Semiconductor, Inc. Method of forming a semiconductor device using stress memorization
US20100197124A1 (en) * 2009-02-02 2010-08-05 Samsung Electronics Co., Ltd. Methods of Forming Semiconductor Devices Using Plasma Dehydrogenation and Devices Formed Thereby
US20110278580A1 (en) * 2010-05-13 2011-11-17 International Business Machines Corporation Methodology for fabricating isotropically source regions of cmos transistors
KR101720721B1 (ko) * 2010-07-02 2017-03-28 삼성전자주식회사 셀 비트 라인과 주변 게이트가 동일한 레벨에서 형성되나, 상이한 스페이서 두께를 가지는 반도체 소자와 그 제조방법, 및 그것을 포함하는 반도체 모듈 및 전자 시스템
US8824837B2 (en) * 2010-08-26 2014-09-02 The Board Of Trustees Of The Leland Stanford Junior University Integration of optoelectronics with waveguides using interposer layer
US8704230B2 (en) * 2010-08-26 2014-04-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US9234276B2 (en) * 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
JP5998101B2 (ja) * 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US10158000B2 (en) * 2013-11-26 2018-12-18 Taiwan Semiconductor Manufacturing Company Limited Low-K dielectric sidewall spacer treatment
KR20160059861A (ko) * 2014-11-19 2016-05-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102224849B1 (ko) 2015-03-24 2021-03-08 삼성전자주식회사 스트레서를 갖는 반도체 소자 및 그 제조 방법
US20160307772A1 (en) 2015-04-15 2016-10-20 Applied Materials, Inc. Spacer formation process with flat top profile
US10361282B2 (en) * 2017-05-08 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a low-K spacer

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4859573A (en) * 1984-08-13 1989-08-22 Ncr Corporation Multiple photoresist layer process using selective hardening
US20050263829A1 (en) * 2004-05-27 2005-12-01 Yoon-Jong Song Semiconductor devices having phase change memory cells, electronic systems employing the same and methods of fabricating the same
TWI406414B (zh) * 2008-08-25 2013-08-21 Taiwan Semiconductor Mfg 半導體裝置及其製造方法
CN102087965A (zh) * 2009-12-04 2011-06-08 中芯国际集成电路制造(上海)有限公司 形成栅极结构侧墙的方法
US20150249017A1 (en) * 2014-03-03 2015-09-03 Tokyo Electron Limited Spacer material modification to improve k-value and etch properties

Also Published As

Publication number Publication date
US10361282B2 (en) 2019-07-23
US10804373B2 (en) 2020-10-13
US20190341466A1 (en) 2019-11-07
US20210028294A1 (en) 2021-01-28
KR20180123420A (ko) 2018-11-16
DE102017112746B4 (de) 2023-12-07
TWI669779B (zh) 2019-08-21
US20180323276A1 (en) 2018-11-08
TW201843768A (zh) 2018-12-16
DE102017112746A1 (de) 2018-11-08
CN108878291B (zh) 2021-08-24
KR102003592B1 (ko) 2019-07-24

Similar Documents

Publication Publication Date Title
CN109841671B (zh) 源极/漏极接触件的形成方法及半导体器件的形成方法
CN106328539B (zh) 多栅极器件及其制造方法
CN104835780B (zh) 半导体结构及其制造方法
CN104347502B (zh) 半导体器件及其制造方法
CN108878291A (zh) 形成低k间隔件的方法
US10714587B2 (en) Method for fabricating metal gate devices and resulting structures
US8889505B2 (en) Method for manufacturing semiconductor device
TW201909253A (zh) 半導體元件及其製作方法
CN109427673A (zh) 半导体器件及其形成方法
CN109427671A (zh) 用于半导体器件的鳍结构
JP4548521B2 (ja) 半導体装置の製造方法及び半導体装置
TW202111954A (zh) 電路裝置的製造方法
WO2012087660A2 (en) Semiconductor device contacts
TW201208001A (en) Manufacturing method of semiconductor device and semiconductor device
CN109427896A (zh) 半导体装置的形成方法
CN103871895A (zh) 用于制造场效应晶体管器件的方法
KR102184593B1 (ko) 반도체 디바이스를 위한 게이트 구조물
CN108878529A (zh) 半导体器件及其制造方法
CN106971975A (zh) 半导体装置的制造方法
JP2008004578A (ja) 半導体装置の製造方法および半導体装置
TWI511205B (zh) 半導體積體電路的形成方法
JP2008103613A (ja) 半導体装置及びその製造方法
JP2020102484A (ja) 半導体装置及びその製造方法、並びに電子機器
CN109585549A (zh) FinFET及其形成方法
JP2011151134A (ja) 半導体装置、および、半導体装置の製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant