DE102014119127B4 - Verbindungsstruktur und Verfahren zum Ausbilden derselben - Google Patents

Verbindungsstruktur und Verfahren zum Ausbilden derselben Download PDF

Info

Publication number
DE102014119127B4
DE102014119127B4 DE102014119127.7A DE102014119127A DE102014119127B4 DE 102014119127 B4 DE102014119127 B4 DE 102014119127B4 DE 102014119127 A DE102014119127 A DE 102014119127A DE 102014119127 B4 DE102014119127 B4 DE 102014119127B4
Authority
DE
Germany
Prior art keywords
spacer
conductive device
dielectric layer
conductive
sidewall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102014119127.7A
Other languages
English (en)
Other versions
DE102014119127A1 (de
Inventor
Cheng Hsiung Tsai
Chung-Ju Lee
Hai-Ching Chen
Tien-I Bao
Shau-Lin Shue
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102014119127A1 publication Critical patent/DE102014119127A1/de
Application granted granted Critical
Publication of DE102014119127B4 publication Critical patent/DE102014119127B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verbindungsstruktur (100), die Folgendes umfasst:
eine low-k-(LK)-dielektrische Schicht (140) über einem Substrat (110);
eine erste leitende Einrichtung (122) und eine zweite leitende Einrichtung (124) in der LK-dielektrischen Schicht (140);
einen ersten Abstandhalter (132) entlang einer ersten Seitenwand der ersten leitenden Einrichtung (122), wobei der erste Abstandhalter eine im Wesentlichen rechteckige Form hat;
einen zweiten Abstandhalter (134) entlang einer zweiten Seitenwand der zweiten leitenden Einrichtung(124), wobei die zweite Seitenwand der zweiten leitenden Einrichtung der ersten Seitenwand der ersten leitenden Einrichtung gegenüberliegt und wobei der zweite Abstandhalter eine im Wesentlichen rechteckige Form hat;
ein Luftspalt (150) zwischen dem ersten Abstandhalter und dem zweiten Abstandhalter; und
eine dritte leitende Einrichtung (160) über der ersten leitenden Einrichtung, wobei die dritte leitende Einrichtung mit der ersten leitenden Einrichtung verbunden ist, wobei ein Seitenverhältnis die Höhe
des ersten Abstandhalters (132) oder des zweiten Abstandhalters (134) geteilt durch den Abstand zwischen dem ersten Abstandhalter und dem zweiten Abstandhalter ist, wobei das Seitenverhältnis größer oder gleich 2 ist, wobei die dritte leitende Einrichtung (160) einen Abstand von dem Luftspalt (150) hatund ferner die dritte leitende Einrichtung (160) mit dem ersten Abstandhalter (132) verbunden ist.

Description

  • HINTERGRUND
  • Die Herstellung von integrierten Chips kann allgemein in zwei Hauptabschnitte aufgeteilt werden, Front-End-of-Line-(FEOL)-Herstellung und Back-End-of-Line-(BEOL)-Herstellung. FEOL-Herstellung umfasst das Ausbilden von Vorrichtungen (z.B. Transistoren, Kondensatoren, Widerständen etc.) in einem Halbleitersubstrat. BEOL-Herstellung umfasst das Ausbilden einer oder mehrerer Metall-Verbindungsschichten, die in einer oder mehreren dielektrischen Schichten vorgesehen sind, die über dem Halbleitersubstrat angeordnet sind. Die Metall-Verbindungsschichten der BEOL verbinden einzelne Vorrichtungen der FEOL elektrisch mit externen Pins eines integrierten Chips.
  • Während die Größe einer Halbleitervorrichtung sich verringert, neigt die kapazitive Kopplung zwischen den Metall-Verbindungsschichten der BEOL dazu, sich zu erhöhen, da die kapazitive Kopplung umgekehrt proportional zu dem Abstand zwischen den Metall-Verbindungsschichten ist. Diese Kopplung kann schließlich die Geschwindigkeit des Chips einschränken oder den korrekten Betrieb des Chips anderweitig stören, wenn keine Schritte unternommen werden, um die kapazitive Kopplung zu verringern. Somit ist in der Branche ein Bedarf nach einem verbesserten Verfahren zum Ausbilden einer Verbindungsstruktur für einen integrierten Chip entstanden.
    Aus der DE 10 2008 026 134 A1 ist eine Verbindungsstruktur mit Abstandhalterelementen bekannt, in der die Abstandhalterelemente in Vertiefungen ausgebildet werden, deren Tiefe kleiner als die Hälfte der Dicke von benachbarten Metallleitungen ist.
  • Aus der US 2006/0088975 A1 ist eine Verbindungsstruktur bekannt, bei der zur Vermeidung eines Durchbrechens eines Durchkontaktierungsloches in eine Luftspalte Durchkontaktierungen nur zu solchen Elementen vorgenommen werden, neben denen sich keine Luftspalte befindet.
  • Aus der US 2009/0093100 A1 und der US 2009/0263951A1 sind Verbindungsstrukturen bekannt, bei denen sich zwischen benachbarten Leitungen Luftspalten befinden, wobei die benachbarten Leitungen von Barriereschichten umgeben sind.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Figuren gelesen wird. Man beachte, dass in Übereinstimmung mit dem üblichen Vorgehen in der Branche verschiedene Einrichtungen nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Einrichtungen zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert sein.
    • 1 ist eine Schnittansicht einer Verbindungsstruktur 100 gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 2 ist eine Schnittansicht einer Verbindungsstruktur 200 gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 3 ist ein Flussdiagramm eines Verfahrens 300 zum Ausbilden der Verbindungsstruktur 100 gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 4-8 sind Schnittansichten der Verbindungsstruktur 100 in verschiedenen Herstellungsstufen gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 9 zeigt ein Beispiel des Polymerisationsverfahrens von P(npMAco-EGDA).
  • DETAILLIERTE BESCHREIBUNG
  • Die vorliegende Offenbarung betrifft im Allgemeinen Halbleiterstrukturen und insbesondere Verfahren zum Ausbilden einer Verbindungsstruktur, die Luftspalte umfasst.
  • Es versteht sich, dass die folgende Offenbarung viele verschiedene Ausführungsformen oder Beispiele vorsieht, um verschiedene Einrichtungen der Erfindung zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Das Ausbilden einer ersten Einrichtung „über“ oder „auf“ einer zweiten Einrichtung in der folgenden Beschreibung kann beispielsweise Ausführungsformen umfassen, in denen die erste und die zweite Einrichtung in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Einrichtungen zwischen der ersten und der zweiten Einrichtung ausgebildet sein können, so dass die erste und die zweite Einrichtung nicht in direktem Kontakt sein müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und erzwingt als solche keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Weiter können räumlich relative Begriffe, wie „unten“, „unter“, „unterer“, „über“, „oberer“ und ähnliche, hier zur Einfachheit der Beschreibung verwendet werden, um die Beziehung eines Elements oder einer Einrichtung mit einem oder mehreren anderen Elementen oder Einrichtungen zu beschreiben, wie sie in den Figuren gezeigt sind. Die räumlich relativen Begriffe sollen verschiedene Orientierungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Orientierung umfassen. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden.
  • Der beanspruchte Gegenstand wird nun mit Bezug auf die Zeichnungen beschrieben, wobei gleiche Bezugszeichen im Allgemeinen verwendet werden, um überall gleiche Elemente zu bezeichnen. In der folgenden Beschreibung werden zum Zweck der Erklärung viele spezielle Details angegeben, um ein vollständiges Verständnis des beanspruchten Gegenstands bereitzustellen. Es ist jedoch selbstverständlich, dass der beanspruchte Gegenstand ohne diese speziellen Details ausgeführt werden kann. In anderen Fällen sind Strukturen und Vorrichtungen in Form von Blockdiagrammen gezeigt, um die Beschreibung des beanspruchten Gegenstands zu vereinfachen. Es wird deutlich, dass „Schicht“, wie hier verwendet, einen Bereich bedeutet und nicht notwendigerweise eine gleichmäßige Dicke nach sich zieht. Eine Schicht ist beispielsweise ein Bereich, etwa eine Fläche, die beliebige Grenzen aufweist. Als weiteres Beispiel ist eine Schicht ein Bereich, der zumindest einige Abweichungen der Dicke aufweist.
  • Es besteht ein Bedarf nach neuen Verfahren, die niedrige RC-Zeitkonstanten für fortschrittliche Halbleitervorrichtung bereitstellen, wobei „R“ der Widerstand der Verdrahtung auf dem Chip und „C“ die wirksame Kapazität zwischen den Signalleitungen und den sie umgebenden Anschlussteilen in dem Mehrschicht-Verbindungsstapel ist. RC-Zeitkonstanten werden verkleinert, indem der spezifische Widerstand des Verdrahtungsmaterials verringert wird, und indem Dielektrika mit niedrigen Dielektrizitätskonstanten k verwendet werden. Die herkömmliche Halbleiterherstellung verwendet üblicherweise Siliziumdioxid (SiO2) als Dielektrikum, das einen k-Wert von etwa 3,9 hat. Implementierung von organischen Materialien, um k zu verringern, verringert auch die Gesamt-BEOL-Kapazität. Anstatt SiO2 und organische Materialien zu verwenden, liegt ein anderer Ansatz darin, eine Luftspalte oder Höhlung zu implementieren, die in Form einer Verbindungsstruktur bereitgestellt wird, die Luftspalte umfasst. Selbst eine kleine Luftspalte in der Nähe des Drahts führt zu wesentlichen Verbesserungen bei dem Gesamt-k-Wert für eine Struktur, z.B. verringert eine 10%-Luftspalte je Kante den wirksamen k-Wert eines Dielektrikums um etwa 15%.
  • 1 ist eine Schnittansicht einer Verbindungsstruktur 100 gemäß verschiedener Aspekte der vorliegenden Offenbarung. Wie in 1 gezeigt ist, umfasst die Verbindungsstruktur 100 eine low-k-(LK)-dielektrische Schicht 140 über einem Substrat 110; eine erste leitende Einrichtung 122 und eine zweite leitende Einrichtung 124 in der LK-dielektrischen Schicht 140; einen ersten Abstandhalter 132 entlang einer ersten Seitenwand 122a der ersten leitenden Einrichtung 122, wobei der erste Abstandhalter 132 im Wesentlichen eine Rechteckform aufweist; einen zweiten Abstandhalter 134 entlang einer zweiten Seitenwand 124b der zweiten leitenden Einrichtung 124, wobei die zweite Seitenwand 124b der zweiten leitenden Einrichtung 124 der ersten Seitenwand 122a der ersten leitenden Einrichtung 122 gegenüberliegt und wobei der zweite Abstandhalter 134 im Wesentlichen eine Rechteckform aufweist; eine Luftspalte 150 zwischen dem ersten Abstandhalter 132 und dem zweiten Abstandhalter 134; und eine dritte leitende Einrichtung 160 über der ersten leitenden Einrichtung 122, wobei die dritte leitenden Einrichtung 160 mit der ersten leitenden Einrichtung 122 verbunden ist. Die Verbindungsstruktur 100 kann weiter eine obere Ätzstoppschicht (ESL) 180 zwischen einem ersten Abschnitt 142 und einem zweiten Abschnitt 144 der LK-dielektrischen Schicht 140 umfassen. Die Verbindungsstruktur 100 kann weiter eine untere Ätzstoppschicht (ESL) 170 zwischen der LK-dielektrischen Schicht 140 und dem Substrat 110 umfassen.
  • Ein Dielektrikum der LK-dielektrischen Schicht 140 umfasst ein Oxid, SiO2, SiOCH, Borphosphorsilikat-Glas (BPSG), Tetraethylorthosilikat (TEOS), undotiertes Silikatglas (USG), fluoriertes Silikatglas (FSG), ein hochdichtes Plasmaoxid (HDP), plasmaverstärktes TEOS (PETEOS), fluordotiertes Siliziumoxid, kohlenstoffdotiertes Siliziumoxid, poröses Siliziumoxid, poröses kohlenstoffdotiertes Siliziumoxid, organische Polymere oder siliziumbasierte Polymere. Das Dielektrikum gehört ist mit einer Dielektrizitätskonstante (k) von weniger als 3,9 verbunden. In einigen Ausführungsformen liegt k zwischen etwa 1,5 und etwa 2,8. Die LK-dielektrische Schicht 140 kann durch Atomlagenabscheidung (ALD), chemischer Gasphasenabscheidung (CVD), physikalischer Gasphasenabscheidung (PVD) oder Kombinationen daraus ausgebildet werden.
  • Das Substrat 110 kann ein Halbleitersubstrat sein, das einen elementaren Halbleiter umfasst einschließlich Silizium und/oder Germanium; einen Verbindungshalbleiter, der Siliziumkarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid umfasst; einen Legierungshalbleiter, der SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP umfasst; oder Kombinationen daraus. Das Legierungshalbleiter-Substrat kann eine Gradient-SiGe-Einrichtung aufweisen, bei der die Si- und Ge-Zusammensetzung sich von einem Verhältnis an einem Ort zu einem anderen Verhältnis an einem anderen Ort der Gradient-SiGe-Einrichtung ändert. Das Legierungs-SiGe kann über einem Siliziumsubstrat ausgebildet sein. Das SiGe-Substrat kann gestreckt (engl. „strained“) sein. Des Weiteren kann das Substrat 110 ein Halbleiter-auf-Isolator (SOI) sein. In einigen Beispielen kann das Substrat 110 eine dotierte Epi-Schicht aufweisen. In anderen Beispielen kann das Substrat 110 eine Mehrschicht-Verbindungshalbleiterstruktur umfassen. Alternativ kann das Substrat 110 ein Nicht-Halbleiter-Material umfassen, etwa ein Glas, Quarzglas oder Kalziumfluorid. In einigen Ausführungsformen umfasst das Substrat 110 eine niedrigere LK-dielektrische Schicht.
  • Die erste leitende Einrichtung 122, die zweite leitende Einrichtung 124 oder die dritte leitende Einrichtung 160 umfassen Kupfer (Cu), Aluminium (Al), Silber (Ag), Gold (Au) oder Legierungen daraus. Die erste leitende Einrichtung 122, die zweite leitende Einrichtung 124 oder die dritte leitende Einrichtung 160 können eine oder mehrere Sperrschichten umfassen, die aus einer Gruppe aus W, WN, Ti, Al, TiAl, TiN, TiAlN, Ta, TaC, TaN, TaCN, TaSiN, Mn, Zr, Nb oder Ru ausgewählt sind. Die erste leitende Einrichtung 122, die zweite leitende Einrichtung 124 oder die dritte leitende Einrichtung 160 können auch eine oder mehrere Deckschichten umfassen, die eine Zusammensetzung mit der Formel MxOyNz aufweist, wobei M ein Metall ist, O Sauerstoff ist und N Stickstoff ist. Im Allgemeinen wird das Metall aus der Gruppe ausgewählt, die aus Al, Mn, Co, Ti, Ta, W, Ni, Sn, Mg und Kombinationen daraus besteht. Die erste leitende Einrichtung 122, die zweite leitende Einrichtung 124 oder die dritte leitende Einrichtung 160 können durch ein Verfahren ausgebildet werden, das ALD, CVD, PVD, Sputtern, Plattieren oder Kombinationen daraus umfasst, ist aber nicht darauf eingeschränkt.
  • In einigen Ausführungsformen ist die erste leitende Einrichtung 122 eine erste Metallleitung, die zweite leitende Einrichtung 124 eine zweite Metallleitung und die dritte leitende Einrichtung 160 umfasst eine dritte Metallleitung 162 und eine Durchkontaktierung 164, die mit der dritten Metallleitung 162 zusammenhängt. Wie in 1 gezeigt ist, ist die dritte leitende Einrichtung 160 mit der ersten leitenden Einrichtung 122 verbunden. Erfindungsgemäß hat die dritte leitende Einrichtung 160 einen Abstand von der Luftspalte 150 und ist weiter mit dem ersten Abstandhalter 132 verbunden. Der erste Abstandhalter 132 ist so konfiguriert, dass er als Stoppschicht oder Pufferstruktur dient, um zu verhindern, dass die dritte leitende Einrichtung 160 sich durch die Luftspalte 150 erstreckt, so dass kein Bedarf besteht, eine zusätzliche Maske bereitzustellen, um Durchgriff-Probleme bei der Durchkontaktierung 164 zu vermeiden.
  • Erfindungsgemäß ist ein Seitenverhältnis die Höhe des ersten Abstandhalters 132 oder des zweiten Abstandhalters 134 geteilt durch den Abstand zwischen dem ersten Abstandhalter 132 und dem zweiten Abstandhalter 134, wobei das Seitenverhältnis größer oder gleich etwa 2 ist. Das Seitenverhältnis wird präzise gesteuert, so dass sich die Luftspalte 150 zwischen dem ersten Abstandhalter 132 und dem zweiten Abstandhalter 134 bildet. Das Seitenverhältnis liegt beispielsweise im Bereich von etwa 2 bis etwa 5. Für ein weiteres Beispiel liegt das Seitenverhältnis im Bereich von etwa 2,5 bis etwa 3,5.
  • In einigen Ausführungsformen umfassen der ersten Abstandhalter 132 oder der zweite Abstandhalter 134 eine Metallverbindung. In einigen Ausführungsformen umfasst die Metallverbindung ein Metalloxid, ein Metallnitrid, ein Metallkarbid, ein Metallborid oder eine Kombination von zwei oder mehreren davon. In einigen Ausführungsformen umfasst die Metallverbindung ein oder mehrere Metallelemente, die aus Ruthenium (Ru), Nickel (Ni), Kobalt (Co), Chrom (Cr), Eisen (Fe), Mangan (Mn), Titan (Ti), Aluminium (Al), Hafnium (Hf), Tantal (Ta), Wolfram (W), Vanadium (V), Molybdän (Mo), Palladium (Pd) oder Silber (Ag) ausgewählt sind. Der erste Abstandhalter 132 oder der zweite Abstandhalter 134 können mittels eines geeigneten Verfahrens ausgebildet werden wie ALD, CVD, PCD, Molekularstrahlepitaxie (MBE), Rotationsbeschichtung oder Kombinationen daraus. In einigen Ausführungsformen haben der erste Abstandhalter 132 oder der zweite Abstandhalter 134 eine Dicke im Bereich von etwa 5,0 nm bis etwa 8,0 nm. In anderen Ausführungsformen haben der erste Abstandhalter 132 oder der zweite Abstandhalter 134 eine Dicke im Bereich von etwa 6,0 nm bis etwa 7,0 nm.
  • Wie oben gezeigt, haben der erste Abstandhalter 132 und der zweite Abstandhalter 134 im Wesentlichen eine Rechteckform. In einigen Ausführungsformen schneiden sich eine obere Fläche des ersten Abstandhalters 132 und eine Seitenfläche des ersten Abstandhalters 132 an einem ersten Eckpunkt, um einen Winkel von etwa 90 Grad zu bilden, und der erste Eckpunkt muss die obere Fläche oder die Seitenfläche des ersten Abstandhalters 132 nicht berühren. Man beachte, dass in der Praxis der erste Eckpunkt eine leichte Rundung anstatt eines scharfen Punkts aufweist. Ähnlich schneiden sich eine obere Fläche des zweiten Abstandhalters 134 und eine Seitenfläche des zweiten Abstandhalters 134 an einem zweiten Eckpunkt, um einen Winkel von etwa 90 Grad zu bilden, während der zweite Eckpunkt die obere Fläche oder die Seitenfläche des zweiten Abstandhalters 134 nicht berühren muss. Man beachte, dass in der Praxis der zweite Eckpunkt eine leichte Rundung anstatt eines scharfen Punkts aufweist.
  • In einigen Ausführungsformen ist die Luftspalte 150 mit k = 1 verbunden. Demnach erleichtert die Luftspalte 150 der Verbindungsstruktur 100 eine verbesserte RC-Leistungsfähigkeit mit Bezug auf eine Lücke, die mit einem k-Wert größer 1 verbunden ist, als Beispiel. Lückenmaterialien, die sich von Luft unterscheiden, werden jedoch erwogen. In einigen Ausführungsformen hat die Luftspalte 150 eine Breite im Bereich von etwa 1 Å bis etwa 100 Å.
  • In einigen Ausführungsformen umfasst die Verbindungsstruktur 100 weiter eine obere ESL 180 zwischen einem ersten Abschnitt 142 und einem zweiten Abschnitt 144 der LK-dielektrischen Schicht 140. Durch die obere ESL 180 erstreckt sich die dritte leitende Einrichtung 160. Die obere ESL 180 liegt beispielsweise unter der dritten Metallleitung 162 und die Durchkontaktierung 164 erstreckt sich durch sie. In einigen Ausführungsformen umfasst die Verbindungsstruktur 100 weiter eine untere ESL 170 zwischen der LK-dielektrischen Schicht 140 und dem Substrat 110. Das Material für die untere ESL 170 oder die obere ESL 180 umfasst SiO, SiC, SiN, SiOC, SiON, SiCN, TiN, AlN, ALON, TEOS, festen schwarzen Diamant (engl. „hard black diamond“, HBD) oder Ähnliches. Alternativ können die untere ESL 170 oder die obere ESL 180 durch Abscheiden und Ausheilen eines Metalloxid-Materials ausgebildet werden, das Hafnium (Hf), Hafniumoxid (HfO2) oder Aluminium (Al) umfasst. Die untere ESL 170 oder die obere ESL 180 können mittels eines geeigneten Verfahrens ausgebildet werden wie ALD, CVD, PVD, MBE, Rotationsbeschichtung oder Kombinationen daraus. In einigen Ausführungsformen haben die untere ESL 170 oder die obere ESL 180 eine Dicke im Bereich von etwa 10 Å bis etwa 300 Ä.
  • Die Verbindungsstrukturen der vorliegenden Offenbarung sind nicht auf die oben erwähnten Ausführungsformen beschränkt und können andere Ausführungsformen aufweisen. Um die Beschreibung zu vereinfachen und zur Bequemlichkeit des Vergleichs zwischen jeder der Ausführungsformen der vorliegenden Offenbarung werden die gleichen Komponenten in jeder der folgenden Ausführungsformen mit identischen Bezugszeichen bezeichnet. Um es zu erleichtern, die Unterschiede zwischen den Ausführungsformen zu vergleichen, beschreibt die folgende Beschreibung die Unterschiede zwischen den unterschiedlichen Ausführungsformen, und die gleichen Merkmale werden nicht redundant beschrieben.
  • 2 ist eine Schnittansicht einer Verbindungsstruktur 200 gemäß verschiedenen Aspekten der vorliegenden Offenbarung. 2 ähnelt 1, außer dass: das Substrat 110 in 1 durch eine niedrigere low-k-(LK)-dielektrische Schicht 210 in 2 ersetzt ist, der erste Abschnitt 142 der LK-dielektrischen Schicht 140 in 1 durch eine mittlere LK-dielektrische Schicht 220 in 2 ersetzt ist und der zweite Abschnitt 144 der LK-dielektrischen Schicht 140 in 1 durch eine obere LK-dielektrische Schicht 230 in 2 ersetzt ist. Wie in 2 gezeigt ist, umfasst die Verbindungsstruktur 200 eine untere low-k-(LK)-dielektrische Schicht 210; eine mittlere LK-dielektrische Schicht 220 über der unteren LK-dielektrischen Schicht 210; eine erste leitende Einrichtung 122 und eine zweite leitende Einrichtung 124 in der mittleren LK-dielektrischen Schicht 220; einen ersten Abstandhalter 132 entlang einer ersten Seitenwand 122a der ersten leitenden Einrichtung 122, wobei der ersten Abstandhalter 132 im Wesentlichen eine Rechteckform aufweist; einen zweiten Abstandhalter 134 entlang einer zweiten Seitenwand 124b der zweiten leitenden Einrichtung 124, wobei die zweite Seitenwand 124b der zweiten leitenden Einrichtung 124 der ersten Seitenwand 122a der ersten leitenden Einrichtung 122 gegenüberliegt und wobei der zweite Abstandhalter 134 im Wesentlichen eine Rechteckform aufweist; eine Luftspalte 150 in der mittleren LK-dielektrischen Schicht 220 zwischen dem ersten Abstandhalter 132 und dem zweiten Abstandhalter 134 in der mittleren LK-dielektrischen Schicht 220, eine obere LK-dielektrische Schicht 230 über der mittleren LK-dielektrischen Schicht 220; und eine dritte leitende Einrichtung 160 über der ersten leitenden Einrichtung 122, wobei die dritte leitende Einrichtung 160 mit der ersten leitenden Einrichtung 122 verbunden ist und von der Luftspalte 150 einen Abstand hat. Die Verbindungsstruktur 200 kann weiter eine untere Ätzstoppschicht (ESL) 170 zwischen der unteren LK-dielektrischen Schicht 210 und der mittleren LK-dielektrischen Schicht 220 umfassen; oder eine obere ESL 180 zwischen der mittleren LK-dielektrischen Schicht 220 und der oberen LK-dielektrischen Schicht 230.
  • Ein Dielektrikum der unteren LK-dielektrischen Schicht 210, der mittleren LK-dielektrischen Schicht 220 oder der oberen LK-dielektrischen Schicht 230 umfasst ein Oxid, SiO2, SiOCH, BPSG, TEOS, USG, FSG, HDP-Oxid, PETEOS, fluordotiertes Siliziumoxid, kohlenstoffdotiertes Siliziumoxid, poröses Siliziumoxid, poröses kohlenstoffdotiertes Siliziumoxid, organische Polymere oder siliziumbasierte Polymere. Das Dielektrikum ist mit einer Dielektrizitätskonstante (k) von weniger als 3,9 verbunden. In einigen Ausführungsformen liegt k zwischen etwa 1,5 und etwa 2,8. Die untere LK-dielektrische Schicht 210, die mittlere LK-dielektrische Schicht 220 oder die obere LK-dielektrische Schicht 230 können durch ALD, CVD, PVD oder Kombinationen daraus ausgebildet werden.
  • 3 ist ein Flussdiagramm eines Verfahrens 300 zum Ausbilden der Verbindungsstruktur 100 gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Es versteht sich, dass zusätzliche Schritte vor, während und nach dem Verfahren 300 vorgesehen sein können und dass einige der beschriebenen Schritte für weitere Ausführungsformen des Verfahrens 300 ersetzt werden oder fehlen können. Das Verfahren 300 beginnt bei Schritt 310, in dem eine erste leitende Einrichtung 122 und eine zweite leitende Einrichtung 124 über einem Substrat 110 ausgebildet werden. Das Verfahren 300 fährt mit Schritt 320 fort, in dem ein erster Abstandhalter 132 mit einer im Wesentlichen rechteckigen Form entlang einer ersten Seitenwand 122a der ersten leitenden Einrichtung 122 ausgebildet wird und ein zweiter Abstandhalter 134 mit einer im Wesentlichen rechteckigen Form entlang einer zweiten Seitenwand 124b der zweiten leitenden Einrichtung 124 ausgebildet wird, wobei die zweite Seitenwand 124b der zweiten leitenden Einrichtung 124 der ersten Seitenwand 122a der ersten leitenden Einrichtung 122 gegenüberliegt. Das Verfahren 300 fährt mit Schritt 330 fort, in dem eine low-k-(LK)-dielektrische Schicht 140 über dem Substrat 110 abgeschieden wird, um eine Luftspalte 150 zwischen dem ersten Abstandhalter 132 und dem zweiten Abstandhalter 134 auszubilden. Das Verfahren 300 fährt mit Schritt 340 fort, in dem eine dritte leitende Einrichtung 160 über der ersten leitenden Einrichtung 122 ausgebildet wird, wobei die dritte leitende Einrichtung 160 mit der ersten leitenden Einrichtung 122 verbunden ist. Eine untere Ätzstoppschicht (ESL) 170 kann weiter zwischen der LK-dielektrischen Schicht 140 und dem Substrat 110 ausgebildet werden. Eine obere ESL 180 kann weiter zwischen einem ersten Abschnitt 142 und einem zweiten Abschnitt 144 der LK-dielektrischen Schicht 140 ausgebildet werden. Die folgende Beschreibung beschreibt Ausführungsformen der Verbindungsstruktur 100, die gemäß dem Verfahren 300 von 3 hergestellt werden können.
  • 4-8 sind Schnittansichten der Verbindungsstruktur 100 in verschiedenen Herstellungsstufen gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Wie in 4, 5 und Schritt 310 von 3 gezeigt ist, beginnt das Verfahren 300 bei Schritt 310, indem eine erste leitende Einrichtung 122 und eine zweite leitende Einrichtung 124 über einem Substrat 110 ausgebildet werden. Der Schritt 310 umfasst Folgendes: Ausbilden einer dielektrischen Schicht 115 über dem Substrat 110; Ausbilden der ersten leitenden Einrichtung 122 und der zweiten leitenden Einrichtung 124 in der dielektrischen Schicht 115; und Entfernen der dielektrischen Schicht 115. Das Substrat 110 kann ein Halbleitersubstrat sein, das einen elementaren Halbleiter umfasst einschließlich Silizium und/oder Germanium; einen Verbindungshalbleiter, der Siliziumkarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid umfasst; einen Legierungshalbleiter, der SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP umfasst; oder eine Kombination daraus. Das Legierungshalbleiter-Substrat kann eine Gradient-SiGe-Einrichtung aufweisen, bei der die Si- und Ge-Zusammensetzung sich von einem Verhältnis an einem Ort zu einem anderen Verhältnis an einem anderen Ort der Gradient-SiGe-Einrichtung ändert. Das Legierungs-SiGe kann über einem Siliziumsubstrat ausgebildet sein. Das SiGe-Substrat kann gestreckt sein. Des Weiteren kann das Substrat 110 ein Halbleiter-auf-Isolator (SOI) sein. In einigen Beispielen kann das Substrat 110 eine dotierte Epi-Schicht aufweisen. In anderen Beispielen kann das Substrat 110 eine Mehrschicht-Verbindungshalbleiterstruktur umfassen. Alternativ kann das Substrat 110 ein Nicht-Halbleiter-Material umfassen, etwa ein Glas, Quarzglas oder Kalziumfluorid. In einigen Ausführungsformen umfasst das Substrat 110 eine LK-dielektrische Schicht.
  • Die erste leitende Einrichtung 122 oder die zweite leitende Einrichtung 124 können durch ein Verfahren ausgebildet werden, das ALD, CVD, PVD, Sputtern, Plattieren oder Kombinationen daraus umfasst, ist aber nicht darauf beschränkt. Die erste leitende Einrichtung 122 oder die zweite leitende Einrichtung 124 umfassen Cu, Al, Ag, Au oder Legierungen daraus. Die erste leitende Einrichtung 122 oder die zweite leitende Einrichtung 124 können eine oder mehrere Sperrschichten umfassen, die aus einer Gruppe ausgewählt sind, die aus W, WN, Ti, Al, TiAl, TiN, TiAlN, Ta, TaC, TaN, TaCN, TaSiN, Mn, Zr, Nb oder Ru ausgewählt ist. Die erste leitende Einrichtung 122 oder die zweite leitende Einrichtung 124 können auch eine oder mehrere Deckschichten umfassen, die eine Zusammensetzung mit der Formel MxOyNz aufweist, wobei M ein Metall ist, O Sauerstoff ist und N Stickstoff ist. Im Allgemeinen wird das Metall aus der Gruppe ausgewählt, die aus Al, Mn, Co, Ti, Ta, W, Ni, Sn, Mg und Kombinationen daraus besteht. In einigen Ausführungsformen ist die erste leitende Struktur 122 eine erste Metallleitung und die zweite leitende Struktur 124 eine zweite Metallleitung.
  • Die dielektrische Schicht 115 kann durch ALD, CVD, PVD, Rotationsbeschichtung oder Kombinationen daraus ausgebildet werden. In einigen Ausführungsformen umfasst die dielektrische Schicht 115 ein Oxid, SiO2, SiOCH, BPSG, TEOS, USG, FSG, HDP-Oxid, PETEOS, fluordotiertes Siliziumoxid, kohlenstoffdotiertes Siliziumoxid, poröses Siliziumoxid, poröses kohlenstoffdotiertes Siliziumoxid, organische Polymere oder siliziumbasierte Polymere. In anderen Ausführungsformen umfasst die dielektrische Schicht 115 ein LK-Dielektrikum oder ein thermisch abbaubares Material. Das LK-Dielektrikum ist mit einer Dielektrizitätskonstante (k) von weniger als 3,9 verbunden. Der k-Wert liegt beispielsweise zwischen etwa 1,5 und etwa 2,8. Das thermisch abbaubare Material umfasst Poly-(Neopentyl-Methacrylat-Coethylen-Glykol-Dimethacrylat)-Copolymer, das als P(npMAco-EGDA) abgekürzt wird. 9 zeigt ein Beispiel des Polymerisationsverfahrens von P(npMAco-EGDA).
  • In einigen Ausführungsformen umfasst das Entfernen der dielektrischen Schicht 115 die Verwendung eines Ätzverfahrens oder einer thermischen Behandlung. Das Ätzverfahren, etwa ein Trockenätzverfahren oder ein Nassätzverfahren, wird verwendet, um das LK-Dielektrikum zu entfernen. Das Trockenätzverfahren kann ein Einschritt-Ätzverfahren oder ein Mehrschritt-Ätzverfahren sein. Das Trockenätzverfahren kann ein anisotropes Ätzverfahren sein. Das Trockenätzverfahren kann reaktives Ionenätzen (RIE) und/oder ein anderes geeignetes Verfahren verwenden. In einem Beispiel wird ein Trockenätzverfahren verwendet, um das LK-Dielektrikum zu ätzen, das eine chemische Zusammensetzung umfasst, die fluorenthaltendes Gas umfasst. Das Nassätzverfahren kann eine Chemikalie verwenden, die eine fluorenthaltende Spezies und Metall-Inhibitoren umfasst. Die thermische Behandlung, etwa ein ultraviolettes (UV) Aushärteverfahren, wird verwendet, um das thermisch abbaubare Material zu entfernen. Das UV-Aushärteverfahren wird beispielsweise bei etwa 400 °C bis 450 °C für etwa 30 Minuten bis 1 Stunde ausgeführt, so dass C-H- oder C-O-Bindungen in dem P(npMAco-EGDA) aufgelöst werden können.
  • Wie in 6, 7 und Schritt 320 in 3 gezeigt ist, fährt das Verfahren 300 mit Schritt 320 fort, indem ein erster Abstandhalter 132 mit einer im Wesentlichen rechteckigen Form entlang einer ersten Seitenwand 122a der ersten leitenden Einrichtung 122 und ein zweiter Abstandhalter 134 mit einer im Wesentlichen rechteckigen Form entlang einer zweiten Seitenwand 124b der zweiten leitenden Einrichtung 124 ausgebildet wird, wobei die zweite Seitenwand 124b der zweiten leitenden Einrichtung 124 der ersten Seitenwand 122a der ersten leitenden Einrichtung 122 gegenüberliegt. Der Schritt 320 umfasst das Ausbilden einer Abstandhalter-Schicht 130 gleichmäßig über der ersten leitenden Einrichtung 122, der zweiten leitenden Einrichtung 124 und dem Substrat 110; und das Entfernen von horizontalen Abschnitten der Abstandhalter-Schicht 130, um den ersten Abstandhalter 132 und den zweiten Abstandhalter 134 auszubilden. Die Abstandhalter-Schicht 130 kann mittels eines geeigneten Verfahrens wie ALD, CVD, PVD, MBE, Rotationsbeschichtung oder Kombinationen daraus ausgebildet werden. Der Bereich der Abscheidungsbedingungen ist beispielsweise wie folgt: die Temperatur liegt zwischen etwa 100 °C und etwa 400 °C, der Druck liegt zwischen etwa 0,1 Torr und etwa 50 Torr (1,00 Torr = 133 Pa) und die Leistung liegt zwischen etwa 10 Watt und etwa 100 Watt. Die Zusammensetzung der Abstandhalter-Schicht 130 kann auch so gewählt sein, dass sie eine Ätzstoppschicht bereitstellt, die während weiterer Verarbeitung verwendet werden kann. In einigen Ausführungsformen umfasst die Abstandhalter-Schicht 130 eine Metallverbindung. In einigen Ausführungsformen umfasst die Metallverbindung ein Metalloxid, ein Metallnitrid, ein Metallkarbid, ein Metallborid oder eine Kombination von zwei oder mehreren davon. In einigen Ausführungsformen umfasst die Metallverbindung ein oder mehrere Metallelemente, die aus Ru, Ni, Co, Cr, Fe, Mn, Ti, Al, Hf, Ta, W, V, Mo, Pd oder Ag ausgewählt sind. In einigen Ausführungsformen hat die Abstandhalter-Schicht 130 eine Dicke im Bereich von etwa 5,0 nm bis etwa 8,0 nm. In anderen Ausführungsformen hat die Abstandhalter-Schicht 130 eine Dicke im Bereich von etwa 6,0 nm bis etwa 7,0 nm. In einigen Ausführungsformen wird das Entfernen von horizontalen Abschnitten der Abstandhalter-Schicht 130 durch ein anisotropes Ätzverfahren (z.B. ein Trockenätzen) ausgeführt, so dass die vertikalen Abschnitte der Abstandhalter-Schicht 130 übrig bleiben können. Die vertikalen Abschnitte umfassen den ersten Abstandhalter 132 und den zweiten Abstandhalter 134.
  • Wie in 8 und Schritt 330 in 3 gezeigt ist, fährt das Verfahren 300 mit Schritt 330 fort, indem eine low-k-(LK)-dielektrische Schicht 140 über dem Substrat 110 abgeschieden wird, um eine Luftspalte 150 zwischen dem ersten Abstandhalter 132 und dem zweiten Abstandhalter 134 auszubilden. Die LK-dielektrische Schicht 140 kann durch ALD, CVD, PVD oder Kombinationen daraus ausgebildet werden. Ein Dielektrikum der LK-dielektrischen Schicht 140 umfasst ein Oxid, SiO2, SiOCH, BPSG, TEOS, USG, FSG, HDP-Oxid, PETEOS, fluordotiertes Siliziumoxid, kohlenstoffdotiertes Siliziumoxid, poröses Siliziumoxid, poröses kohlenstoffdotiertes Siliziumoxid, organische Polymere oder siliziumbasierte Polymere. Das Dielektrikum ist mit einer Dielektrizitätskonstante (k) von weniger als 3,9 verbunden. Der k-Wert liegt beispielsweise zwischen etwa 1,5 und etwa 2,8. In einigen Ausführungsformen ist ein Seitenverhältnis eine Höhe des ersten Abstandhalters 132 oder des zweiten Abstandhalters 134 geteilt durch einen Abstand zwischen dem ersten Abstandhalter 132 und dem zweiten Abstandhalter 134, wobei das Seitenverhältnis größer oder gleich etwa 2 ist. Das Seitenverhältnis wird präzise gesteuert, so dass sich die Luftspalte 150 zwischen dem ersten Abstandhalter 132 und dem zweiten Abstandhalter 134 bildet. Das Seitenverhältnis liegt beispielsweise im Bereich von etwa 2 bis etwa 5. Für ein weiteres Beispiel liegt das Seitenverhältnis im Bereich von etwa 2,5 bis etwa 3,5. In einigen Ausführungsformen ist die Luftspalte 150 mit k = 1 verbunden. Demnach erleichtert die Luftspalte 150 der Verbindungsstruktur 100 eine verbesserte RC-Leistungsfähigkeit mit Bezug auf eine Lücke, die mit einem k-Wert größer 1 verbunden ist, als Beispiel. Lückenmaterialien, die sich von Luft unterscheiden, werden jedoch erwogen. In einigen Ausführungsformen hat die Luftspalte 150 eine Breite im Bereich von etwa 0,1 nm bis etwa 10,0 nm.
  • Wie in 1 und Schritt 340 in 3 gezeigt ist, fährt das Verfahren 300 mit Schritt 340 fort, indem eine dritte leitende Einrichtung 160 über der ersten leitenden Einrichtung 122 ausgebildet wird, wobei die dritte leitende Einrichtung 160 mit der ersten leitenden Einrichtung 122 verbunden ist. Die dritte leitende Einrichtung 160 kann durch ein Verfahren ausgebildet werden, das ALD, CVD, PVD, Sputtern, Plattieren oder Kombinationen daraus umfasst, ist aber nicht darauf eingeschränkt. Die dritte leitende Einrichtung 160 umfasst Cu, Al, Ag, Au oder Legierungen daraus. Die dritte leitende Einrichtung 160 kann eine oder mehrere Sperrschichten umfassen, die aus einer Gruppe aus W, WN, Ti, Al, TiAl, TiN, TiAlN, Ta, TaC, TaN, TaCN, TaSiN, Mn, Zr, Nb oder Ru ausgewählt sind. Die dritte leitende Einrichtung 160 kann auch eine oder mehrere Deckschichten umfassen, die eine Zusammensetzung mit der Formel MxOyNz aufweist, wobei M ein Metall ist, O Sauerstoff ist und N Stickstoff ist. Im Allgemeinen wird das Metall aus der Gruppe ausgewählt, die aus Al, Mn, Co, Ti, Ta, W, Ni, Sn, Mg und Kombinationen daraus besteht. In einigen Ausführungsformen umfasst die dritte leitende Einrichtung 160 eine dritte Metallleitung 162 und eine Durchkontaktierung 164, die mit der dritten Metallleitung 162 zusammenhängt. Die Durchkontaktierung 164 ist mit der ersten leitenden Einrichtung 122 verbunden. Erfindungsgemäß hat die dritte leitende Einrichtung 160 einen Abstand von der Luftspalte 150 und ist weiter mit dem ersten Abstandhalter 132 verbunden. Der erste Abstandhalter 132 ist so konfiguriert, dass er als Stoppschicht oder Pufferstruktur dient, um zu verhindern, dass sich die dritte leitende Einrichtung 160 durch die Luftspalte 150 erstreckt, so dass kein Bedarf besteht, eine zusätzliche Maske bereitzustellen, um Durchgriff-Probleme bei der Durchkontaktierung 164 zu vermeiden.
  • Wie in 1 gezeigt ist, umfasst in einigen Ausführungsformen das Verfahren 300 weiter das Ausbilden einer unteren Ätzstoppschicht (ESL) 170 zwischen der LK-dielektrischen Schicht 140 und dem Substrat 110; oder das Ausbilden einer oberen ESL 180 zwischen einem ersten Abschnitt 142 und einem zweiten Abschnitt 144 der LK-dielektrischen Schicht 140. Die untere ESL 170 oder die obere ESL 180 können mittels eines geeigneten Verfahrens ausgebildet werden wie ALD, CVD, PVD, MBE, Rotationsbeschichtung oder Kombinationen daraus. Das Material für die untere ESL 170 oder die obere ESL 180 umfasst SiO, SiC, SiN, SiOC, SiON, SiCN, TiN, AlN, ALON, TEOS, festen schwarzen Diamant (engl. „hard black diamond“, HBD) oder Ähnliches. Alternativ können die untere ESL 170 oder die obere ESL 180 durch Abscheiden und Ausheilen eines Metalloxid-Materials ausgebildet werden, das Hafnium (Hf), Hafniumoxid (HfO2) oder Aluminium (AI) umfasst. In einigen Ausführungsformen haben die untere ESL 170 oder die obere ESL 180 eine Dicke im Bereich von etwa 10 Ä bis etwa 300 Å. Durch die obere ESL erstreckt sich die dritte leitende Struktur 160. Die obere ESL 180 liegt beispielsweise unter der dritten Metallleitung 162 und die Durchkontaktierung 164 erstreckt sich durch sie.
  • Die Verfahren der vorliegenden Offenbarung sind nicht darauf beschränkt, dass sie durch eine planare Vorrichtung auf dem Substrat verwendet werden, und können auch auf eine nicht-planare Vorrichtung angewendet werden, etwa einen gratartigen Feldeffekttransistor (FinFET) oder eine Nanodraht-Vorrichtung. Auf Grundlage der obigen Beschreibung wird ersichtlich, dass indem die Verfahren der vorliegenden Offenbarung verwendet werden, die Dielektrizitätskonstante (k) des LK-Dielektrikums verkleinert wird, indem eine Luftspalte zwischen einem ersten Abstandhalter und einem zweiten Abstandhalter ausgebildet wird. Der erste Abstandhalter liegt entlang einer ersten Seitenwand einer ersten leitenden Einrichtung, der zweite Abstandhalter liegt entlang einer zweiten Seitenwand einer zweiten leitenden Einrichtung und die zweite Seitenwand der zweiten leitenden Einrichtung liegt der ersten Seitenwand der ersten leitenden Einrichtung gegenüber. Wenn die Luftspalte ausgebildet wird, ist der erste Abstandhalter so konfiguriert, dass er als Stoppschicht oder Pufferstruktur dient, um zu verhindern, dass sich eine dritte leitende Einrichtung durch die Luftspalte erstreckt, so dass kein Bedarf besteht, eine zusätzliche Maske bereitzustellen, um Durchgriff-Probleme bei der Durchkontaktierung zu vermeiden. Im Ergebnis kann die RC-Leistungsfähigkeit der Vorrichtung präzise gesteuert werden, indem die Verfahren der vorliegenden Offenbarung verwendet werden.
  • Eine der breiteren Formen der vorliegenden Offenbarung betrifft eine Verbindungsstruktur. Die Verbindungsstruktur umfasst eine low-k-(LK)-dielektrische Schicht über einem Substrat; eine erste leitende Einrichtung und eine zweite leitende Einrichtung in der LK-dielektrischen Schicht; einen ersten Abstandhalter entlang einer ersten Seitenwand der ersten leitenden Einrichtung, wobei der erste Abstandhalter eine im Wesentlichen rechteckige Form hat; einen zweiten Abstandhalter entlang einer zweiten Seitenwand der zweiten leitenden Einrichtung, wobei die zweite Seitenwand der zweiten leitenden Einrichtung der ersten Seitenwand der ersten leitenden Einrichtung gegenüberliegt und wobei der zweite Abstandhalter eine im Wesentlichen rechteckige Form hat; eine Luftspalte zwischen dem ersten Abstandhalter und dem zweiten Abstandhalter; und eine dritte leitende Einrichtung über der ersten leitenden Einrichtung, wobei die dritte leitende Einrichtung mit der ersten leitenden Einrichtung verbunden ist.
  • Eine weitere der breiteren Formen der vorliegenden Offenbarung betrifft eine Verbindungsstruktur. Die Verbindungsstruktur umfasst eine untere low-k-(LK)-dielektrische Schicht; eine mittlere LK-dielektrische Schicht über der unteren LK-dielektrischen Schicht; eine erste leitende Einrichtung und eine zweite leitende Einrichtung in der mittleren LK-dielektrischen Schicht; einen ersten Abstandhalter entlang einer ersten Seitenwand der ersten leitenden Einrichtung, wobei der erste Abstandhalter eine im Wesentlichen rechteckige Form hat; einen zweiten Abstandhalter entlang einer zweiten Seitenwand der zweiten leitenden Einrichtung, wobei die zweite Seitenwand der zweiten leitenden Einrichtung der ersten Seitenwand der ersten leitenden Einrichtung gegenüberliegt und wobei der zweite Abstandhalter eine im Wesentlichen rechteckige Form hat; eine Luftspalte in der mittleren LK-dielektrischen Schicht zwischen dem ersten Abstandhalter und dem zweiten Abstandhalter in der mittleren LK-dielektrischen Schicht; eine obere LK-dielektrische Schicht über der mittleren LK-dielektrischen Schicht; und eine dritte leitende Einrichtung über der ersten leitenden Einrichtung, wobei die dritte leitende Einrichtung mit der ersten leitenden Einrichtung verbunden ist und von der Luftspalte einen Abstand hat.
  • Noch eine weitere der breiteren Formen der vorliegenden Offenbarung betrifft ein Verfahren zum Ausbilden einer Verbindungsstruktur. Das Verfahren umfasst das Ausbilden einer ersten leitenden Einrichtung und einer zweiten leitenden Einrichtung über einem Substrat; das Ausbilden eines ersten Abstandhalters, der eine im Wesentlichen rechteckige Form aufweist, entlang einer ersten Seitenwand der ersten leitenden Einrichtung und eines zweiten Abstandhalters, der eine im Wesentlichen rechteckige Form aufweist, entlang einer zweiten Seitenwand der zweiten leitenden Einrichtung, wobei die zweite Seitenwand der zweiten leitenden Einrichtung der ersten Seitenwand der ersten leitenden Einrichtung gegenüberliegt; das Abscheiden einer low-k-(LK)-dielektrischen Schicht über dem Substrat, um eine Luftspalte zwischen dem ersten Abstandhalter und dem zweiten Abstandhalter auszubilden; und das Ausbilden einer dritten leitenden Einrichtung über der ersten leitenden Einrichtung, wobei die dritte leitende Einrichtung mit der ersten leitenden Einrichtung verbunden ist.

Claims (16)

  1. Verbindungsstruktur (100), die Folgendes umfasst: eine low-k-(LK)-dielektrische Schicht (140) über einem Substrat (110); eine erste leitende Einrichtung (122) und eine zweite leitende Einrichtung (124) in der LK-dielektrischen Schicht (140); einen ersten Abstandhalter (132) entlang einer ersten Seitenwand der ersten leitenden Einrichtung (122), wobei der erste Abstandhalter eine im Wesentlichen rechteckige Form hat; einen zweiten Abstandhalter (134) entlang einer zweiten Seitenwand der zweiten leitenden Einrichtung(124), wobei die zweite Seitenwand der zweiten leitenden Einrichtung der ersten Seitenwand der ersten leitenden Einrichtung gegenüberliegt und wobei der zweite Abstandhalter eine im Wesentlichen rechteckige Form hat; ein Luftspalt (150) zwischen dem ersten Abstandhalter und dem zweiten Abstandhalter; und eine dritte leitende Einrichtung (160) über der ersten leitenden Einrichtung, wobei die dritte leitende Einrichtung mit der ersten leitenden Einrichtung verbunden ist, wobei ein Seitenverhältnis die Höhe des ersten Abstandhalters (132) oder des zweiten Abstandhalters (134) geteilt durch den Abstand zwischen dem ersten Abstandhalter und dem zweiten Abstandhalter ist, wobei das Seitenverhältnis größer oder gleich 2 ist, wobei die dritte leitende Einrichtung (160) einen Abstand von dem Luftspalt (150) hatund ferner die dritte leitende Einrichtung (160) mit dem ersten Abstandhalter (132) verbunden ist.
  2. Verbindungsstruktur nach Anspruch 1, wobei das Substrat eine niedrigere LK-dielektrische Schicht (210) umfasst.
  3. Verbindungsstruktur nach Anspruch 1, wobei der erste Abstandhalter (132) oder der zweite Abstandhalter (134) eine Metallverbindung umfasst.
  4. Verbindungsstruktur nach Anspruch 3, wobei die Metallverbindung ein Metalloxid, ein Metallnitrid, ein Metallkarbid, ein Metallborid oder eine Kombination von zwei oder mehreren daraus umfasst.
  5. Verbindungsstruktur nach Anspruch 1, wobei der erste Abstandhalter (132) oder der zweite Abstandhalter (134) eine Dicke im Bereich von etwa 5,0 nm bis etwa 8,0 nm hat.
  6. Verbindungsstruktur nach Anspruch 1, wobei: die erste leitende Einrichtung (122) eine erste Metallleitung ist; die zweite leitende Einrichtung (124) eine zweite Metallleitung ist; und die dritte leitende Einrichtung (160) eine dritte Metallleitung und eine Durchkontaktierung umfasst, die mit der dritten Metallleitung zusammenhängt.
  7. Verbindungsstruktur nach Anspruch 1, die weiter eine obere Ätzstoppschicht (ESL) (180) zwischen einem ersten Abschnitt und einem zweiten Abschnitt der LK-dielektrischen Schicht umfasst.
  8. Verbindungsstruktur nach Anspruch 1, die weiter eine untere Ätzstoppschicht (ESL) (170) zwischen der LK-dielektrischen Schicht (140) und dem Substrat (110) umfasst.
  9. Verbindungsstruktur (200), die Folgendes umfasst: eine untere low-k-(LK)-dielektrische Schicht (210); eine mittlere LK-dielektrische Schicht (220) über der unteren LK-dielektrischen Schicht; eine erste leitende Einrichtung (122) und eine zweite leitende Einrichtung (124) in der mittleren LK-dielektrischen Schicht (220); einen ersten Abstandhalter (132) entlang einer ersten Seitenwand der ersten leitenden Einrichtung (122), wobei der erste Abstandhalter eine im Wesentlichen rechteckige Form hat; einen zweiten Abstandhalter (134) entlang einer zweiten Seitenwand der zweiten leitenden Einrichtung, wobei die zweite Seitenwand der zweiten leitenden Einrichtung der ersten Seitenwand der ersten leitenden Einrichtung gegenüberliegt und wobei der zweite Abstandhalter eine im Wesentlichen rechteckige Form hat; ein Luftspalt (150) in der mittleren LK-dielektrischen Schicht zwischen dem ersten Abstandhalter und dem zweiten Abstandhalter; eine obere LK-dielektrische Schicht (230) über der mittleren LK-dielektrischen Schicht; und eine dritte leitende Einrichtung (160) über der ersten leitenden Einrichtung, wobei die dritte leitende Einrichtung mit der ersten leitenden Einrichtung verbunden ist und von dem Luftspalt beabstandet ist, wobei ein Seitenverhältnis die Höhe des ersten Abstandhalters (132) oder des zweiten Abstandhalters (134) geteilt durch den Abstand zwischen dem ersten Abstandhalter und dem zweiten Abstandhalter ist, wobei das Seitenverhältnis größer oder gleich 2 ist, wobei die dritte leitende Einrichtung einen Abstand von dem Luftspalt hat und ferner die dritte leitende Einrichtung mit dem ersten Abstandhalter verbunden ist.
  10. Verbindungsstruktur nach Anspruch 9, wobei der erste Abstandhalter (132) oder der zweite Abstandhalter (134) eine Metallverbindung umfasst, die aus einem Metalloxid, einem Metallnitrid, einem Metallkarbid, einem Metallborid oder einer Kombination von zwei oder mehreren daraus ausgewählt ist.
  11. Verbindungsstruktur nach Anspruch 9, wobei der erste Abstandhalter (132) oder der zweite Abstandhalter (134) eine Dicke im Bereich von etwa 5,0 nm bis etwa 8,0 nm hat.
  12. Verbindungsstruktur nach Anspruch 9, die eine untere Ätzstoppschicht (ESL) (170) zwischen der unteren LK-dielektrischen Schicht (210) und der mittleren LK-dielektrischen (220) Schicht und/oder eine obere ESL (180) zwischen der mittleren LK-dielektrischen Schicht (220) und der oberen LK-dielektrischen Schicht (230) umfasst.
  13. Verfahren zum Ausbilden einer Verbindungsstruktur, das Folgendes umfasst: Ausbilden einer ersten leitenden Einrichtung (122) und einer zweiten leitenden Einrichtung (124) über einem Substrat (110); Ausbilden eines ersten Abstandhalters (132), der eine im Wesentlichen rechteckige Form hat, entlang einer ersten Seitenwand der ersten leitenden Einrichtung (122) und eines zweiten Abstandhalters (134), der eine im Wesentlichen rechteckige Form hat, entlang einer zweiten Seitenwand der zweiten leitenden Einrichtung (124), wobei die zweite Seitenwand der zweiten leitenden Einrichtung der ersten Seitenwand der ersten leitenden Einrichtung zugewandt ist, wobei ein Seitenverhältnis der Höhe des ersten Abstandhalters oder des zweiten Abstandhalters geteilt durch den Abstand zwischen dem ersten Abstandhalter und dem zweiten Abstandhalter ist, wobei das Seitenverhältnis größer oder gleich 2 ist; Abscheiden einer low-k-(LK)-dielektrischen Schicht (140) über dem Substrat (110), um einen Luftspalt (150) zwischen dem ersten Abstandhalter und dem zweiten Abstandhalter auszubilden; und Ausbilden einer dritten leitenden Einrichtung (160) über der ersten leitenden Einrichtung (122), wobei die dritte leitende Einrichtung mit der ersten leitenden Einrichtung verbunden ist.
  14. Verfahren nach Anspruch 13, wobei der Schritt des Ausbildens eines ersten Abstandhalters (132) entlang einer ersten Seitenwand der ersten leitenden Einrichtung (122) und eines zweiten Abstandhalters (134) entlang einer zweiten Seitenwand der zweiten leitenden Einrichtung (124) Folgendes umfasst: Ausbilden einer Abstandhalter-Schicht (130) gleichmäßig über der ersten leitenden Einrichtung (122), der zweiten leitenden Einrichtung (124) und dem Substrat (110); und Entfernen von horizontalen Abschnitten der Abstandhalter-Schicht (130), um den ersten Abstandhalter (132) und den zweiten Abstandhalter (134) auszubilden.
  15. Verfahren nach Anspruch 14, das weiter Folgendes umfasst: Ausbilden einer unteren Ätzstoppschicht (ESL) (170) zwischen der LK-dielektrischen Schicht (140) und dem Substrat (110).
  16. Verfahren nach Anspruch 14, das weiter Folgendes umfasst: Ausbilden einer oberen Ätzstoppschicht (ESL) (180) zwischen einem ersten Abschnitt (142) und einem zweiten Abschnitt (144) der LK-dielektrischen Schicht (140).
DE102014119127.7A 2013-12-30 2014-12-19 Verbindungsstruktur und Verfahren zum Ausbilden derselben Active DE102014119127B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/144,245 2013-12-30
US14/144,245 US9230911B2 (en) 2013-12-30 2013-12-30 Interconnect structure and method of forming the same

Publications (2)

Publication Number Publication Date
DE102014119127A1 DE102014119127A1 (de) 2015-07-02
DE102014119127B4 true DE102014119127B4 (de) 2020-03-05

Family

ID=53372209

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102014119127.7A Active DE102014119127B4 (de) 2013-12-30 2014-12-19 Verbindungsstruktur und Verfahren zum Ausbilden derselben

Country Status (5)

Country Link
US (2) US9230911B2 (de)
KR (1) KR101700487B1 (de)
CN (1) CN104752399B (de)
DE (1) DE102014119127B4 (de)
TW (1) TWI538128B (de)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9496224B2 (en) 2014-05-15 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having air gap structures and method of fabricating thereof
US9570341B2 (en) * 2014-05-15 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having air gap structures and method of fabricating thereof
US9496169B2 (en) * 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
US9837306B2 (en) 2015-12-21 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure and manufacturing method thereof
US9887128B2 (en) 2015-12-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for interconnection
KR102460075B1 (ko) 2016-01-27 2022-10-31 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US9899320B2 (en) * 2016-02-05 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection and manufacturing method thereof
US9754822B1 (en) * 2016-03-02 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US10199500B2 (en) 2016-08-02 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer film device and method
US11367682B2 (en) 2016-09-30 2022-06-21 Intel Corporation Vias and gaps in semiconductor interconnects
US10916499B2 (en) * 2016-09-30 2021-02-09 Intel Corporation Vias and gaps in semiconductor interconnects
TWI647807B (zh) * 2017-01-24 2019-01-11 旺宏電子股份有限公司 內連線結構及其製造方法
US10134866B2 (en) 2017-03-15 2018-11-20 International Business Machines Corporation Field effect transistor air-gap spacers with an etch-stop layer
CN108807339B (zh) * 2018-06-04 2020-04-10 上海华虹宏力半导体制造有限公司 金属连线间形成空气隙的方法和金属连线结构
US20200203271A1 (en) * 2018-12-21 2020-06-25 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Interconnect structure and method for manufacturing the same
US11264277B2 (en) * 2019-10-31 2022-03-01 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with spacers for self aligned vias
CN112863999B (zh) * 2019-11-26 2023-10-27 中芯国际集成电路制造(上海)有限公司 刻蚀方法
CN110993583A (zh) * 2019-12-06 2020-04-10 中国科学院微电子研究所 金属化叠层及其制造方法及包括金属化叠层的电子设备
US11164774B2 (en) * 2020-01-16 2021-11-02 International Business Machines Corporation Interconnects with spacer structure for forming air-gaps
US11355390B2 (en) * 2020-05-18 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect strucutre with protective etch-stop
US11443987B2 (en) * 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside air gap dielectric
US20230343698A1 (en) * 2022-04-26 2023-10-26 Samsung Electronics Co, Ltd. Interconnect structure of semiconductor device including metal pattern or via structure with sidewall spacer structure

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060088975A1 (en) * 2004-10-25 2006-04-27 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device and semiconductor device
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US20090263951A1 (en) * 2007-11-12 2009-10-22 Panasonic Corporation Method for fabricating semiconductor device
DE102008026134A1 (de) * 2008-05-30 2009-12-17 Advanced Micro Devices, Inc., Sunnyvale Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5278103A (en) 1993-02-26 1994-01-11 Lsi Logic Corporation Method for the controlled formation of voids in doped glass dielectric films
US5880018A (en) 1996-10-07 1999-03-09 Motorola Inc. Method for manufacturing a low dielectric constant inter-level integrated circuit structure
US6492732B2 (en) * 1997-07-28 2002-12-10 United Microelectronics Corp. Interconnect structure with air gap compatible with unlanded vias
US6249055B1 (en) * 1998-02-03 2001-06-19 Advanced Micro Devices, Inc. Self-encapsulated copper metallization
US6274477B1 (en) * 1999-06-19 2001-08-14 United Microelectronics Corp. Method of fabricating conductive line structure
US6251799B1 (en) * 1999-07-16 2001-06-26 Taiwan Semiconductor Manufacturing Company Method to provide low dielectric constant voids between adjacent conducting lines in a semiconductor device
US6815329B2 (en) * 2000-02-08 2004-11-09 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
US7449407B2 (en) * 2002-11-15 2008-11-11 United Microelectronics Corporation Air gap for dual damascene applications
US20040232552A1 (en) * 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
JP4864307B2 (ja) * 2003-09-30 2012-02-01 アイメック エアーギャップを選択的に形成する方法及び当該方法により得られる装置
JP4918778B2 (ja) * 2005-11-16 2012-04-18 株式会社日立製作所 半導体集積回路装置の製造方法
US20100001409A1 (en) * 2006-11-09 2010-01-07 Nxp, B.V. Semiconductor device and method of manufacturing thereof
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US7928003B2 (en) * 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films
US8497203B2 (en) * 2010-08-13 2013-07-30 International Business Machines Corporation Semiconductor structures and methods of manufacture
JP2013026347A (ja) * 2011-07-19 2013-02-04 Toshiba Corp 半導体装置およびその製造方法
CN102768985A (zh) * 2012-07-04 2012-11-07 上海华力微电子有限公司 一种带有空气间隙的大马士革制造方法
JP2014053557A (ja) * 2012-09-10 2014-03-20 Toshiba Corp 半導体装置およびその製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060088975A1 (en) * 2004-10-25 2006-04-27 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device and semiconductor device
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US20090263951A1 (en) * 2007-11-12 2009-10-22 Panasonic Corporation Method for fabricating semiconductor device
DE102008026134A1 (de) * 2008-05-30 2009-12-17 Advanced Micro Devices, Inc., Sunnyvale Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen

Also Published As

Publication number Publication date
DE102014119127A1 (de) 2015-07-02
US20150187696A1 (en) 2015-07-02
US9564397B2 (en) 2017-02-07
KR101700487B1 (ko) 2017-01-26
CN104752399B (zh) 2018-06-05
KR20150079430A (ko) 2015-07-08
TW201532226A (zh) 2015-08-16
US20160118334A1 (en) 2016-04-28
US9230911B2 (en) 2016-01-05
CN104752399A (zh) 2015-07-01
TWI538128B (zh) 2016-06-11

Similar Documents

Publication Publication Date Title
DE102014119127B4 (de) Verbindungsstruktur und Verfahren zum Ausbilden derselben
DE102015107271B4 (de) Zwei- oder mehrteilige Ätzstoppschicht in integrierten Schaltungen
DE102017104622B4 (de) Integrierter Chip und Verfahren zu seiner Herstellung
DE102014108872B4 (de) Selbstausgerichtete Verbindung mit Schutzschicht
DE102014115934B4 (de) Zwei-Schritt-Ausbildung von Metallisierungen
DE102014111780B4 (de) Verfahren zum Ausbilden von leitenden Strukturen in Vertiefungen
DE102016114870A1 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
DE102016114823A1 (de) Halbleiter-Speicherbaustein und Verfahren zu dessen Erstellung
DE102018108893A1 (de) Dielektrikum mit niedrigem k-Wert und Prozesse ihrer Herstellung
DE102015117872A1 (de) Halbleiterstruktur, in die ein magnetischer Tunnelkontakt integriert ist, und Herstellungsverfahren dafür
DE102017118485A1 (de) Verfahren zum Bilden von Metallschichten in Öffnungen und Vorrichtung zu deren Bildung
DE102018122339A1 (de) Verschlussschicht-schema zum verbessern der rram-leistung
DE102014110645A1 (de) Hybrid-Kupferstruktur zur Verwendung in fortgeschrittener Verbindung
DE112019003120B4 (de) Dünnfilmwiderstand in einer integrierten schaltung und herstellungsverfahren dafür
DE102020105731B4 (de) Kondensator, metall-isolator-metallstruktur und verfahren zu ihrer herstellung
DE102019113416A1 (de) Durchkontaktierungs-Aufsetzverbesserung für Speicherbauelement
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE102021111424A1 (de) Speichervorrichtung und Verfahren zu deren Herstellung
DE102011052914A1 (de) Kondensator und Verfahren zu dessen Herstellung
DE112019003036T5 (de) Aluminiumkompatibler dünnfilmwiderstand (tfr) und herstellungsverfahren
DE102014119644A1 (de) Metalgatestapel mit TiAICN als Arbeitsfunktionsschicht und/oder Sperr/Benetzungsschicht
DE102021108491A1 (de) Interconnect-struktur mit stapel aus dielektrischer kappschicht und ätzstoppschicht
DE102013104368B4 (de) Vorrichtung, Bauteil und Verfahren für die Ausbildung einer Verbindungsstruktur
DE102019215117B4 (de) Verfahren zum Bilden einer Verbindungsstruktur mit reduzierter Variation im Widerstand
DE102015213530B4 (de) Verfahren zur Herstellung eines eingebetteten Kondensators

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final