DE102008026134A1 - Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen - Google Patents

Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen Download PDF

Info

Publication number
DE102008026134A1
DE102008026134A1 DE102008026134A DE102008026134A DE102008026134A1 DE 102008026134 A1 DE102008026134 A1 DE 102008026134A1 DE 102008026134 A DE102008026134 A DE 102008026134A DE 102008026134 A DE102008026134 A DE 102008026134A DE 102008026134 A1 DE102008026134 A1 DE 102008026134A1
Authority
DE
Germany
Prior art keywords
layer
forming
gap
dielectric material
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102008026134A
Other languages
English (en)
Inventor
Frank Feustel
Thomas Werner
Kai Frohberg
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
Advanced Micro Devices Inc
AMD Fab 36 LLC
Original Assignee
AMD Fab 36 LLC and Co KG
Advanced Micro Devices Inc
AMD Fab 36 LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AMD Fab 36 LLC and Co KG, Advanced Micro Devices Inc, AMD Fab 36 LLC filed Critical AMD Fab 36 LLC and Co KG
Priority to DE102008026134A priority Critical patent/DE102008026134A1/de
Priority to US12/400,983 priority patent/US20090294898A1/en
Priority to TW098116995A priority patent/TW201005878A/zh
Priority to PCT/US2009/003296 priority patent/WO2009154696A2/en
Publication of DE102008026134A1 publication Critical patent/DE102008026134A1/de
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Es werden Luftspalte in selbstjustierter Weise mit einer Auflösung unterhalb des Auflösungsvermögens der Lithographie zwischen dichtliegenden Metallleitungen modernster Metallisierungssysteme von Halbleiterbauelementen bereitgestellt, indem das dielektrische Material in der Nähe der Metallleitungen vertieft wird und indem entsprechende Seitenwandabstandshalterelemente gebildet werden. Danach werden die Abstandshalterelemente als eine Ätzmaske verwendet, um die laterale Abmessung eines Spalts auf der Grundlage der entsprechenden Lufstspalte zu definieren, die dann durch Abscheiden eines weiteren dielektrischen Materials erhalten werden.

Description

  • Gebiet der vorliegenden Offenbarung
  • Im Allgemeinen betrifft der hierin offenbarte Gegenstand Mikrostrukturbauelemente, etwa integrierte Schaltungen, und betrifft insbesondere Metallisierungsschichten mit gut leitenden Metallen, etwa Kupfer, die in einem dielektrischen Material mit geringer Permittivität eingebettet sind.
  • Beschreibung des Stands der Technik
  • In modernen integrierten Schaltungen haben die minimalen Strukturgrößen, etwa die Kanallänge von Feldeffekttransistoren, den Bereich deutlich unter 1 μm erreicht, wodurch das Leistungsverhalten dieser Schaltungen im Hinblick auf die Geschwindigkeit und/oder Leistungsaufnahme und/oder Vielfalt der Schaltungsfunktionen verbessert wird. Wenn die Größe der einzelnen Schaltungselemente deutlich verringert wird, wodurch beispielsweise die Schaltgeschwindigkeit der Transistorelemente verbessert wird, wird jedoch auch der verfügbare Platz für Verbindungsleitungen, die die einzelnen Schaltungselemente miteinander elektrisch verbinden, ebenfalls verringert. Folglich müssen die Abmessungen dieser Verbindungsleitungen und die Abstände zwischen den Metallleitungen verringert werden, um den geringeren Anteil an verfügbarem Platz und der größeren Anzahl an Schaltungselementen pro Chipeinheitsfläche Rechnung zu tragen.
  • In integrierten Schaltungen mit minimalen Abmessungen von ungefähr 0,35 μm und weniger ist ein begrenzender Faktor des Leistungsverhaltens die Signalausbreitungsverzögerung, die durch die Schaltgeschwindigkeit der Transistorelemente hervorgerufen wird. Da die Kanallänge dieser Transistorelemente nunmehr 50 nm und weniger erreicht hat, ist die Signalausbreitungsverzögerung nicht mehr durch die Feldeffekttransistoren beschränkt, sondern ist auf Grund der erhöhten Schaltungsdichte durch die Verbindungsleitungen begrenzt, da die Kapazität zwischen Leitungen (C) vergrößert ist und auch der Widerstand (R) der Leitungen auf Grund der reduzierten Querschnittsfläche erhöht ist. Die parasitären RC-Zeitkonstanten und die kapazitive Kopplung zwischen benachbarten Metallleitungen erfor dern daher das Einführen neuer Arten von Materialien, um die Metallisierungsschicht zu bilden.
  • Üblicherweise werden Metallisierungsschichten, d. h. die Verdrahtungsschichten mit Metallleitungen und Kontaktdurchführungen zum Bereitstellen der elektrischen Verbindung der Schaltungselemente gemäß einem spezifizierten Schaltungsaufbau, mittels eines dielektrischen Schichtstapels gebildet, der beispielsweise Siliziumdioxid und/oder Siliziumnitrid aufweist, wobei Aluminium als typisches Metall vorgesehen ist. Da Aluminium eine erhöhte Elektromigration bei höheren Stromdichten zeigt, die in integrierten Schaltungen mit äußerst kleinen Strukturelementen erforderlich sind, wird Aluminium zunehmend beispielsweise durch Kupfer ersetzt, das einen deutlich geringeren elektrischen Widerstand besitzt und auch eine höhere Widerstandsfähigkeit gegenüber Elektromigration aufweist. Für sehr anspruchsvolle Anwendungen werden zusätzlich zur Verwendung von Kupfer und/oder Kupferlegierungen die gut etablierten und gut bekannten dielektrischen Materialien Siliziumdioxid (ε ungefähr 4,2) und Siliziumnitrid (ε > 7) zunehmend durch sogenannte dielektrische Materialien mit kleinem ε ersetzt, die eine relative Permittivität von ungefähr 3,0 und weniger aufweisen. Der Übergang von der gut bekannten und gut etablierten Metallisierungsschicht mit Aluminium/Siliziumdioxid zu einer kupferbasierten Metallisierungsschicht, möglicherweise in Verbindung mit einem dielektrischen Material mit kleinem ε, ist jedoch mit einer Reihe von Problemen behaftet, die es zu handhaben gilt.
  • Beispielsweise kann Kupfer nicht in relativ großen Mengen durch gut etablierte Abscheideverfahren, etwa die chemische und physikalische Dampfabscheidung, aufgebracht werden. Des weiteren kann Kupfer nicht effizient durch gut anisotrope Ätzprozesse strukturiert werden. Daher wird die sogenannte Damaszener- oder Einlegetechnik häufig bei der Herstellung von Metallisierungsschichten, die Kupferleitungen und Kontaktdurchführungen aufweisen, angewendet. Typischerweise wird in der Damaszener-Technik die dielektrische Schicht abgeschieden und anschließend strukturiert, dass diese Gräben und Kontaktlochöffnungen erhält, die nachfolgend mit Kupfer oder Legierungen davon mittels Plattierungsverfahren, etwa Elektroplattieren oder stromloses Plattieren, gefüllt werden. Da ferner Kupfer leicht in einer Vielzahl von Dielektrika diffundiert, etwa in Siliziumdioxid und in vielen Dielektrika mit kleinem ε, ist die Ausbildung einer Diffusionsbarrierenschicht an Grenzflächen zu den benachbarten dielektrischen Materialien erforderlich. Ferner muss die Diffusion von Feuchtigkeit und Sauerstoff in die Metalle auf Kupferbasis unterdrückt werden, da Kup fer sofort reagiert, um damit oxidierte Bereiche zu bilden, wodurch möglicherweise die Eigenschaften der kupferbasierten Metallleitungen im Hinblick auf Haftung, Leitfähigkeit und Widerstandsfähigkeit gegen Elektromigration beeinträchtigt werden.
  • Während des Einfüllens eines leitenden Materials, etwa von Kupfer, in die Gräben und Kontaktlochöffnungen muss ein deutliches Maß an Überfüllung vorgesehen werden, um in zuverlässiger Weise die entsprechenden Öffnungen von unten nach oben ohne Hohlräume und andere durch die Abscheidung hervorgerufenen Unregelmäßigkeiten zu füllen. Nach dem Metallabscheideprozess wird daher überschüssiges Material entfernt und die resultierende Oberflächentopographie wird eingeebnet, indem beispielsweise elektrochemische Ätztechniken, chemisch-mechanisches Polieren (CMP) und dergleichen angewendet werden. Beispielsweise wird während der CMP-Prozesse ein ausgeprägtes Maß an mechanischer Belastung auf die Metallisierungsebenen, die bislang gebildet sind, ausgeübt, die zu einem gewissen Maße eine strukturelle Schädigung hervorrufen, insbesondere wenn anspruchsvolle dielektrische Materialien mit geringer Permittivität verwendet werden. Wie zuvor erläutert ist, besitzt die kapazitive Kopplung zwischen benachbarten Metallleitungen einen wesentlichen Einfluss das Gesamtverhalten des Halbleiterbauelements, insbesondere in Metallisierungsebenen, die im Wesentlichen durch „Kapazitäten” bestimmt sind, d. h. in denen mehrere dichtliegende Metallleitungen entsprechend den Bauteilerfordernissen vorzusehen sind, wodurch möglicherweise eine Signalausbeutungsverzögerung und eine Signalstörung zwischen benachbarten Metallleitungen auftritt. Aus diesem Grunde werden sogenannte dielektrische Materialien mit kleinem ε oder mit sehr kleinem ε verwendet, die für eine dielektrische Konstante von 3,0 und deutlich darunter sorgen, um damit das gesamte elektrische Verhalten der Metallisierungsebenen zu verbessern. Andererseits ist typischersweise eine geringere Permittivität des dielektrischen Materials mit einer geringeren mechanischen Stabilität verknüpft, wodurch anspruchsvolle Strukturierungsschemata erforderlich sind, um nicht in unerwünschter Weise die Zuverlässigkeit des Metallisierungssystems zu beeinträchtigen.
  • Die ständige Verringerung der Strukturgrößen, wobei Gatelängen von ungefähr 40 nm und weniger vorzusehen sind, erfordern noch kleinere dielektrische Konstanten der entsprechenden dielektrischen Materialien, die somit zunehmend zur Ausbeuteverlusten auf Grund von beispielsweise unzureichender mechanischer Stabilität entsprechender Materialien mit sehr kleinem ε beitragen. Aus diesem Grunde wurde vorgeschlagen, „Luftspalte” zumindest an kritischen Bauteilbereichen vorzusehen, da Luft oder ähnliche Gase eine Dielektrizitätskonstante von ungefähr 1,0 aufweisen, wodurch für eine geringere Gesamtpermittivität gesorgt wird, wobei dennoch die Verwendung von weniger kritischen dielektrischen Materialien möglich ist. Somit kann durch das Einführen geeignet positionierter Luftspalte die Gesamtpermittivität reduziert werden, wobei dennoch die mechanische Stabilität des dielektrischen Materials besser ist im Vergleich zu konventionellen Dielektrika mit sehr kleinem ε. Beispielsweise wurde vorgeschlagen, Nano-Löcher in geeignete dielektrische Materialien einzubauen, die zufällig in dem dielektrischen Material verteilt sind, um damit die Dichte des dielektrischen Materials deutlich zu verringern. Das Erzeugen und die Verteilung der entsprechenden Nano-Löcher erfordert jedoch eine Vielzahl anspruchsvoller Prozessschritte, um die Löcher mit einer gewünschten Dichte zu erzeugen, während gleichzeitig die Gesamteigenschaften des dielektrischen Materials im Hinblick auf die weitere Bearbeitung geändert werden, beispielsweise im Hinblick auf das Einebnen von Oberflächenbereichen, das Abscheiden weiterer Materialien und dergleichen.
  • In anderen Lösungen werden aufwendige Lithographieprozesse zusätzlich eingeführt, um damit geeignete Ätzmasken zur Erzeugung von Spalten in der Nähe entsprechender MEtallleitungen mit einer Position und Größe zu schaffen, wie sie durch die lithographisch gebildete Ätzmaske definiert sind. In diesem Falle sind jedoch zusätzliche kostenintensive Lithographieschritte erforderlich, wobei auch die Positionierung und Dimensionierung der entsprechenden Luftspalte durch die Eigenschaften der jeweiligen Lithographieprozesse beschränkt ist. Da typischerweise in kritischen Metallisierungsebenen die lateralen Abmessungen für Metallleitungen und der Abstand zwischen benachbarten Metallleitungen durch kritische Lithographieschritte bestimmt sind, ist eine geeignete und zuverlässige Fertigungssequenz zum Vorsehen von dazwischenliegenden Luftspalten äußerst schwierig auf der Grundlage der verfügbaren Lithographietechniken erreichbar.
  • Angesichts der zuvor beschriebenen Situation betrifft die vorliegende Offenbarung Verfahren und Bauelemente, in denen das elektrische Leistungsverhalten von Metallisierungsebenen verbessert werden kann, indem eine geringere Gesamtpermittivität auf der Grundlage von Luftspalten bereitgestellt wird, wobei dennoch eines oder mehrere oder oben erkannten Probleme vermieden oder zumindest deren Auswirkungen reduziert werden.
  • Überblick über die Offenbarung
  • Im Allgemeinen betrifft die vorliegende Offenbarung Verfahren und Bauelemente, in denen Luftspalte zwischen dichtliegenden Metallgebieten mit Sub-Lithographie-Auflösung positioniert werden, wodurch die Verringerung der Gesamtpermittivität in zuverlässiger und reproduzierbarer Weise möglich ist, während gleichzeitig kostenintensive aufwendige Lithographieprozesse vermieden werden. Zu diesem Zweck wird die Positionierung und Dimensionierung der entsprechenden Luftspalte, die in einem dielektrischen Material einer Metallisierungsebene zu bilden sind, auf der Grundlage des Abscheidens und von Ätzprozessen ohne Anwendung kritischer Lithographieprozesse bewerkstelligt, wobei auch für ein hohes Maß an Flexibilität bei der Einstellung der Größe der Luftspalte gesorgt ist. In einigen hierin offenbarten anschaulichen Aspekten werden kritische Bauteilbereiche in der Metallisierungsebene ausgewählt, um Luftspalte zu erhalten, während andere Bauteilbereiche durch eine geeignete Maske abgedeckt sind, die jedoch auf der Grundlage unkritischer Prozessbedingungen gebildet werden kann. Folglich können geeignete dielektrische Materialien, die für die gewünschten Eigenschaften sorgen, eingesetzt werden, während die zuverlässige und reproduzierbare Herstellung der Luftspalte an kritischen Bauteilbereichen in der Metallisierungsebene eine Einstellung der Gesamtpermittivität gemäß den Bauteilerfordernissen ermöglicht. Beispielsweise werden Metallisierungsebenen von integrierten Schaltungen mit Schaltungselementen mit kritischen Abmessungen von 40 nm und weniger mit einer reduzierten Permittivität hergestellt, zumindest lokal, während insgesamt die mechanische Integrität der Metallisierungsebene verbessert werden kann, indem sehr aufwendige und kritische dielektrische Materialien mit kleinem ε vermieden werden.
  • Ein anschauliches hierin offenbartes Verfahren umfasst das Bilden einer Vertiefung in einem dielektrischen Material einer Metallisierungsschicht eines Halbleiterbauelements, wobei die Vertiefung sich zwischen zwei benachbarten Metallgebieten erstreckt, die in dem dielektrischen Material ausgebildet sind. Des weiteren wird ein Abstandshalterelement an Seitenwänden der Vertiefung gebildet, und ein Spalt wird zwischen den beiden benachbarten Metallgebieten unter Anwendung des Abstandshalterelements als eine Ätzmaske hergestellt.
  • Ein weiteres anschauliches hierin offenbartes Verfahren umfasst das Bilden einer Vertiefung zwischen einer ersten Metallleitung und einer zweiten Metallleitung, wobei die erste und die zweite Metallleitung in einem dielektrischen Material einer Metallisierungsschicht eines Mikrostrukturbauelements ausgebildet sind. Das Verfahren umfasst ferner das Definieren einer reduzierten Breite der Vertiefung durch Abscheiden einer Abstandshalterschicht in der Vertiefung. Schließlich umfasst das Verfahren das Bilden eines Spalts bzw. einer Lücke zwischen der ersten und der zweiten Metallleitung auf der Grundlage der reduzierten Breite.
  • Ein anschauliches hierin offenbartes Mikrostrukturbauelement umfasst eine erste Metallleitung, die in einem dielektrischen Material einer Metallisierungsschicht ausgebildet ist, und eine zweite Metallleitung, die in dem dielektrischen Material der Metallisierungsschicht lateral benachbart zu der ersten Metallleitung ausgebildet ist. Das Bauteil umfasst ferner einen Luftspalt, der in dem dielektrischen Material zwischen der ersten und der zweiten Metallleitung angeordnet ist. Ferner ist ein erstes Abstandshalterelement auf einem Bereich einer ersten Seitenwand der ersten Metallleitung ausgebildet, wobei die erste Seitenwand einer zweiten Seitenwand der zweiten Metallleitung zugewandt ist. Schließlich umfasst das Bauelement ein zweites Abstandshalterelement, das an einem Bereich der zweiten Seitenwand der zweiten Metallleitung ausgebildet ist.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen der vorliegenden Offenbarung sind in den angefügten Patentansprüchen definiert und gehen ebenfalls aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a schematisch eine Querschnittsansicht eines Mikrostrukturbauelements zeigt, beispielsweise einer integrierten Schaltung, die eine Bauteilebene und ein Metallisierungssystem aufweist, das Luftspalte zwischen dichtliegenden Metallleitungen gemäß anschaulicher Ausführungsformen erhalten soll;
  • 1b bis 1f schematisch Querschnittsansichten eines Bereichs des Metallisierungssystems des Bauelements aus 1 während diverser Fertigungsphasen bei der Herstellung von Luftspalten zwischen benachbarten Metallleitungen gemäß anschaulicher Ausführungsformen zeigen;
  • 1g schematisch einen Bereich des Metallisierungssystems des Bauelements aus 1a mit einer Abstandshalterschicht in Verbindung mit einer Ätzstoppschicht gemäß weiterer anschaulicher Ausführungsformen zeigt;
  • 1h bis 1j schematisch Querschnittsansichten eines Bereichs des Metallisierungssystems mit einer Ätzsteuerschicht zum Steuern eines Ätzprozesses zur Herstellung von Vertiefungen gemäß noch weiterer anschaulicher Ausführungsformen zeigen;
  • 1k bis 1m schematisch einen Teil des Metallisierungssystems des Bauelements aus 1a mit einer „vergrabenen” Ätzsteuerschicht zum Definieren einer Tiefe eines dazwischenliegenden Spalts in gering beabstandeten Metallgebieten gemäß noch weiterer anschaulicher Ausführungsformen zeigen;
  • 1n bis 1o schematisch Querschnittsansichten eines Bereichs des Metallisierungssystems zeigen, wenn Seitenwandabstandshalter von Metallleitungen nach dem Bilden eines zwischenliegenden Spaltes zwischen dicht liegenden Metallleitungen gemäß noch weiterer anschaulicher Ausführungsformen entfernt werden; und
  • 1p und 1q schematisch Querschnittsansichten eines Teils des Metallisierungssystems des Bauelements aus 1a während diverser Fertigungsphasen beim selektiven Herstellen eines Luftspalts zwischen Metallgebieten in kritischen Bauteilbereichen zeigen, während andere Bauteilbereiche durch eine Maske gemäß noch weiterer anschaulicher Ausführungsformen bedeckt sind.
  • Detaillierte Beschreibung
  • Obwohl die vorliegende Offenbarung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte beachtet werden, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Offenbarung auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Offenbarung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Im Allgemeinen stellt die vorliegende Offenbarung Techniken und Mikrostrukturbauelemente bereit, beispielsweise integrierte Schaltungen, in denen das elektrische Verhalten eines Metallisierungssystems verbessert wird, indem Luftspalten in der Nähe kritischer Metallgebiete geschaffen werden, etwa von Metallleitungen, ohne dass aufwendige Lithographietechniken erforderlich sind. D. h., die Positionierung und die Dimensionierung der Luftspalte kann auf der Grundlage von Abscheid- und Ätzprozessen ohne zusätzliche Lithographiemasken bewerkstelligt werden, so dass die Größe der Luftspalte eingestellt werden kann ohne Einschränkungen durch die Lithographieprozessgrenzen. Die entsprechende Luftspalten können somit als selbstjustierte Bereiche in der Nähe von Metallleitungen vorgesehen werden, wodurch die Gesamtpermittivität eines Raumbereichs zwischen den Metallleitungen verringert wird, wodurch somit das elektrische Leistungsverhalten des Metallisierungssystems selbst für extrem reduzierte Bauteilabmessungen verbessert wird, wie sie in Technologiestandards mit kritischen Abmessungen in der Transistorebene von 40 nm und deutlich weniger erforderlich sind. In einigen anschaulichen Ausführungsformen wird die selbstjustierte Fertigungssequenz auf gewünschte kritische Bauteilbereiche beschränkt, indem eine geeignete Maske vorgesehen wird, die auf Grundlage eines nicht-kritischen Lithographieprozesses hergestellt werden kann. Folglich wird eine zuverlässige und reproduzierbare Positionierung und Dimensionierung von Luftspalten erreicht, zumindest in kritischen Bauteilbereichen, wobei dennoch Ausbeuteverluste, die konventioneller Weise mit kritischen Materialeigenschaften von dielektrischen Materialien mit sehr kleinem ε verknüpft sind, verringert werden.
  • In einigen anschaulichen hierin offenbarten Aspekten wird die Positionierung und Dimensionierung der Luftspalte bewerkstelligt, indem eine Vertiefung benachbart zu Metallleitungen in einem dielektrischen Material gebildet wird und nachfolgend Abstandshalterelemente auf freigelegten Seitenwandbereichen der Vertiefung hergestellt werden, die dann als eine Ätzmaske verwendet werden, wodurch im Wesentlichen die laterale Größe der entsprechenden Spalte definiert wird, die zwischen dichtliegenden Metallgebieten gebildet werden. Folglich kann die Abmessung und die Position der Luftspalte auf der Grundlage der Prozesssequenz zur Herstellung der Seitenwandabstandshalterelemente definiert werden, wodurch die Positionierung und Dimensionierung mit einem Grad an Genauigkeit möglich ist, wie er durch die beteiligten Abscheide- und Ätzprozesse gegeben ist. Somit können selbst laterale Abmessungen unterhalb der Lithographieauflösung in zuverlässiger und reproduzierbarer Weise erhalten werden, wodurch für im Wesentlichen gleichmäßige elektrische Leistungswerte der entsprechenden Metallisierungsebenen gesorgt wird. Durch lokales Variieren der Prozessbedingungen während der oben beschriebenen Sequenz können die Eigenschaften der Luftspalte und damit das elektrische Verhalten entsprechend den Bauteilerfordernissen variiert werden, wobei selbst ein Erzeugen von Luftspalten in gewissen Bauteilebenen bei Bedarf unterdrückt werden kann. In anderen anschaulichen hierin offenbarten Aspekten wird die Oberflächentopographie, die nach dem Vertiefen des dielektrischen Materials und dem nachfolgenden Abscheiden einer Abstandshalterschicht geschaffen wurde, angewendet, um einen gewünschten Spalt zwischen benachbarten Metallgebieten zu bilden, wobei das Erzeugen ausgeprägter Seitenwandabstandshalterelemente nicht erforderlich ist. Des weiteren sorgen die hierin offenbarten Techniken für hohes Maß an Flexibilität beim speziellen Einstellen der Eigenschaften der Luftspalte, beispielsweise durch Variieren der Tiefe der Vertiefungen, das Auswählen einer geeigneten Dicke der Abstandshalterschicht, das Variieren der Tiefe des geätzten Spalts durch Verwenden der Seitenwandabstandshalterelemente als Ätzmaske, und dergleichen. In anderen anschaulichen Ausführungsformen wird ein erhöhtes Maß an Gleichmäßigkeit und Genauigkeit erreicht, indem eine oder mehrere Ätzstopp- oder Ätzsteuerschichten an geeigneten Höhenniveaus innerhalb des dielektrischen Materials vorgesehen werden, um in präziser Weise eine Tiefe der Vertiefung und/oder eine Tiefe des nachfolgend gebildeten Spalts zu bestimmen, ohne dass im Wesentlichen zur Gesamtprozesskomplexität beigetragen wird. In noch anderen anschaulichen Ausführungsformen werden die Gesamteigenschaften der Metallleitungen modifiziert, indem zumindest ein Bereich der Abstandshalterschicht in Form eines leitenden Materials vorgesehen wird, wodurch somit insgesamt zu einer Verbesserung des elektrischen Verhaltens der Metallleitungen beigetragen wird, beispielsweise im Hinblick auf die Leitfähigkeit, die Widerstandsfähigkeit gegen Elektromigration, und dergleichen.
  • Da die vorliegende Offenbarung Techniken betrifft, die das Positionieren und Dimensionieren von Luftspalten mit Auflösung unterhalb der Auflösung der Lithographie ermöglichen, können die hierin offenbarten Prinzipien vorteilhaft auf anspruchsvolle Halbleiterbauelemente mit Transistorelementen der 45 nm-Technologie oder der 22 nm-Technologie und darunter eingesetzt werden. Die hierin offenbarten Prinzipien können jedoch auf weniger kritische Mikrostrukturbauelemente angewendet werden, so dass die vorliegende Offenbarung nicht als auf spezielle kritische Bauteilabmessungen eingeschränkt erachtet werden soll, sofern derartige Einschränkungen nicht explizit in den angefügten Patentansprüchen oder in der Beschreibung dargelegt sind.
  • Mit Bezug zu den begleitenden Zeichnungen werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben.
  • 1a zeigt schematisch eine Querschnittsansicht eines Mikrostrukturbauelements 100, das in der gezeigten Ausführungsform eine integrierte Schaltung mit einer Vielzahl von Schaltungselementen, etwa Transistoren, Kondensatoren, Widerständen und dergleichen repräsentiert. In diesem Falle umfasst das Bauelement 100 eine Bauteilebene 110, in der mehrere Schaltungselemente 103, etwa Transistoren und dergleichen, über einem Substrat 101 gebildet sind. Beispielsweise repräsentiert das Substrat 101 ein Halbleitersubstrat, ein isolierendes Substrat mit einer darauf ausgebildeten geeigneten Halbleiterschicht 102, in und über welcher die Schaltungselemente 103 gebildet sind. In anderen Fällen wird zumindest teilweise eine vergrabene isolierende Schicht zwischen der Halbleiterschicht 102 und dem Substrat 101 vorgesehen, um damit eine SOI-(Silizium-auf-Isolator-)Konfiguration zu bilden. Es sollte beachtet werden, dass das Halbleitermaterial der Schicht 102 ein beliebiges geeignetes Material aufweisen kann, etwa Silizium, Germanium, eine Silizium/Germanium-Mischung, Verbundhalbleitermaterialien und dergleichen, wie dies entsprechend den Bauteileigenschaften erforderlich ist. Die Schaltungselemente 103 enthalten, wenn sie in Form von Transistorelementen vorgesehen sind, eine Gateelektrodenstruktur 104, die die Gesamteigenschaften beeinflussen und die eine kritische laterale Abmessung, die als 104l bezeichnet ist, aufweist, die ungefähr 50 nm oder weniger betragen kann, etwa 30 nm und weniger in modernsten Halbleiterbauelementen. Die Bauteilebene 110 umfasst ferner eine Kontaktebene 105, die als eine Schnittstelle zwischen den Schaltungselementen 103 und einem Metallisierungssystem 150 verstanden werden kann. Die Kontaktebene 105 umfasst ein beliebiges geeignetes dielektrisches Material, etwa Siliziumdioxid, Siliziumnitrid und dergleichen in Verbindung mit Kontaktelementen 150a, die für die elektrische Verbindung zwischen Kontaktbereichen der Schaltungselemente 103 und Metallgebieten in dem Metallisierungssystem 150 sorgen. Es sollte beachtet werden, dass die Konfiguration der Bauteilebene 110 in Abhängigkeit von den gesamten Bauteilerfordernissen abhängt, und die hierin offenbarten Prinzipien sollten nicht auf spezielle Bauteilarchitekturen eingeschränkt erachtet werden, sofern derartige Einschränkungen nicht explizit in der Beschreibung oder den angefügten Patentansprüchen dargelegt sind.
  • Wie zuvor erläutert ist, ist typischerweise eine oder mehrere elektrische Verbindungen für jedes der Schaltungselemente 103 vorgesehen, wodurch somit eine Vielzahl von Metallisierungsschichten zum Einrichten der elektrischen Verbindung entsprechend der betrachteten Schaltungskonfiguration erforderlich sind, wobei der Einfachheit halber ein Bereich einer einzelnen Metallisierungsschicht als das Metallisierungssystem 150 gezeigt ist. Es sollte jedoch beachtet werden, dass unter und/oder über der Metallisierungsschicht 150 eine oder mehrere Metallisierungsschichten vorgesehen sein können, wobei dies von der gesamten Komplexität des Bauelements 100 abhängt. Für jede dieser zusätzlichen Metallisierungsschichten gelten die gleichen Kriterien, wie sie nachfolgend mit Bezug zu der Metallisierungsschicht 150 dargelegt werden. Die Metallisierungsschicht 150 umfasst ein dielektrisches Material 151, das in Form eines beliebigen geeigneten Materials oder Materialzusammensetzung vorgesehen ist, um damit die gewünschten elektrischen und mechanischen Eigenschaften zu erhalten. Beispielsweise enthält das dielektrische Material 151 ein Material mit einer moderat geringen Permittivität, während ebenfalls eine ausreichende mechanische Robustheit im Hinblick auf die weitere Bearbeitung des Bauelements 100 bereitgestellt wird, wie dies auch zuvor erläutert ist. Da die endgültige Permittivität der Metallisierungsschicht 150 zumindest lokal auf der Grundlage von Luftspalten, die an gewissen Stellen zu bilden sind, eingestellt wird, wird die Auswahl eines geeigneten dielektrischen Materials vorzugsweise auf Grundlage der Kompatibilität im Hinblick auf die weitere Bearbeitung anstelle auf eine minimale dielektrische Kontakte getroffen. Beispielsweise können eine Vielzahl gut etablierter dielektrischer Materialien mit einer moderat kleinen dielektrischen Konstante im Bereich von ungefähr 4,0 bis 2,5 in Verbindung mit der Metallisierungsschicht 150 eingesetzt werden. Beispielsweise können dotiertes Siliziumdioxid, Siliziumkarbid, eine Vielzahl von Silizium, Sauerstoff, Kohlenstoff und Wasserstoff enthaltenden Materialien und dergleichen eingesetzt werden. Es können auch geeignete Polymermaterialien für die Metallisierungsschicht 150 verwendet werden, sofern die gewünschte Kompatibilität mit der weiteren Bearbeitung erreicht wird. Es sollte beachtet werden, dass das dielektrische Material 151 mehrere unterschiedliche Materialien aufweisen kann, wobei dies von den gesamten Bauteil- und Prozesserfordernissen abhängt. Die Metallisierungsschicht 150 umfasst ferner mehrere Metallgebiete 152a, ..., 152c, die beispielsweise Metallleitungen repräsentieren, in denen ein gut leitendes Metall, etwa Kupfer und dergleichen enthalten ist, wenn ein verbessertes Leistungsverhalten im Hinblick im auf Leitfähigkeit, Widerstandsfähigkeit gegen Elektromigration und dergleichen erforderlich ist. In anderen Fällen können andere Metalle, etwa Aluminium, Kupferlegierung, Silber und dergleichen verwendet werden, wenn dies mit den Bauteileigenschaften kompatibel ist. Die Metallgebiete 152a, ... 152c, die auch gemeinsam als Metallgebiete 152 bezeichnet sind, umfassen eine Barrierenschicht 153, die in einigen anschaulichen Ausführungsformen zwei oder mehr Teilschichten aufweist, um damit einen verbesserten Einschluss und Integrität des Metalls im Hinblick auf eine Reaktion mit reaktiven Komponenten zu erhalten, die in sehr geringen Mengen innerhalb des dielektrischen Materials 151 vorhanden sein können.
  • Wie zuvor erläutert ist, erfordern reaktive Metalle, etwa Kupfer, geeignete Barrierenmaterialien, um die Integrität des Kupfermaterials zu bewahren und auch um eine unerwünschte Diffusion von Kupfer in das umgebende dielektrische Material 151 zu unterdrücken. In anderen Fällen wird das Barrierenmaterial 153 weggelassen, wenn ein direkter Kontakt des gut leitenden Metalls mit dem dielektrischen Material 151 als geeignet erachtet wird. Beispielsweise weist das Barrierenmaterial 153 eine Kupferlegierung, etablierte Metalle und Metallverbindungen, etwa Tantal, Tantalnitrid und dergleichen auf, die ebenfalls für verbessertes Elektromigrationsverhalten und eine erhöhte mechanische Robustheit der Metallgebiete 152 während der weiteren Bearbeitung sorgen. In einigen anschaulichen Ausführungsformen werden die Metallgebiete oder Metallleitungen 152a, ..., 152c „als dichtliegende” Metallgebiete betrachtet, wobei eine laterale Abmessung der einzelnen Metallleitungen 152 vergleichbar ist zu dem lateralen Abstand zwischen zwei benachbarten Metallleitungen, etwa die Metallleitungen 152a, 152b oder 152b und 152c. Beispielsweise besitzt die Metallisierungsebene 150 Metallleitungen mit einer Breite von einigen 100 nm und deutlich weniger, etwa 100 nm und weniger, während auch ein Abstand zwischen benachbarten Metallleitungen in der gleichen Größenordnung liegt. Beispielsweise besitzen die Metallleitungen 152 kritische Abmessungen, d. h. Abmessungen, die die minimale laterale Abmessung repräsentieren, die zuverlässig und reproduzierbar durch den entsprechenden lithographischen Prozess in Verbindung mit einem dazugehörigen Strukturierungsschema erhalten werden können. Somit kann, wie zuvor erläutert ist, die Positionierung und Dimensionierung von Luftspalten zwischen benachbarten Metallleitungen 152 nur schwer auf der Grundlage von Lithographietechniken bewerkstelligt werden.
  • Das in 1a gezeigte Bauelement 100 kann auf der Grundlage der folgenden Prozesse hergestellt werden.
  • Die Bauteilebene 110 kann unter Anwendung gut etablierter Prozesstechniken hergestellt werden, wobei aufwendige Lithographieprozesse, Strukturierungsprozesse und dergleichen eingesetzt werden, um die Schaltungselemente 103 entsprechend den Entwurfsregeln bereitzustellen. Zum Beispiel werden die Gateelektrodenstrukturen 104 durch anspruchsvolle Lithographie- und Ätztechniken hergestellt, wobei die Gatelänge 104l gemäß den Entwurfsregeln eingestellt wird. Das Dotierstoffprofil in der Halbleiterschicht 102 kann auf der Grundlage gut etablierter Implantationstechniken in Verbindung mit Ausheizprozessen eingestellt werden. Nach Fertigstellung der grundlegenden Struktur der Schaltungselemente 103 wird die Kontaktebene 105 gemäß geeigneter Fertigungsverfahren hergestellt, beispielsweise durch Abscheiden eines dielektrischen Materials, Einebnen des Materials und Bilden von Kontaktöffnungen darin, die schließlich mit einem geeigneten leitenden Material gefüllt werden, um damit die Kontaktelemente 105a zu erhalten. Danach werden einige oder mehrere Metallisierungsschichten gemäß einer geeigneten Fertigungstechnik hergestellt, etwa durch Einlege- oder Damaszener-Verfahren, wie dies zuvor beschrieben ist. Der Einfachheit halber wird eine Fertigungssequenz mit Bezug zu der Metallisierungsschicht 150 beschrieben, in der die Metallleitungen 152 so gebildet werden, dass sie zu entsprechenden Kontaktdurchführungen (nicht gezeigt) eine Verbindung herstellen, die in einen tieferliegenden Bereich der Metallisierungsschicht 150 in einer separaten Fertigungssequenz hergestellt sind, oder die gemeinsam mit den Metallleitungen 152 gebildet werden. Es sollte beachtet werden, das die vorliegende Offenbarung in Verbindung mit einer beliebigen geeigneten Fertigungssequenz zur Herstellung der Metallleitungen 152 eingerichtet werden kann. Beispielsweise wird das dielektrische Material 151 durch eine geeignete Abscheidetechnik aufgebracht, etwa durch CVD (chemische Dampfabscheidung), Aufschleuderprozesse, physikalische Dampfabscheidung oder eine geeignete Kombination dieser Techniken. Es sollte beachtet werden, dass das dielektrische Material 151 eine Ätzstoppschicht oder Deckschicht aufweisen kann, um damit Metallgebiete einer tieferliegenden Metallisierungsebene abzudecken und/oder als ein Ätzstoppmaterial zur Herstellung von Kontaktlochöffnungen oder Gräben für die Metallleitungen 152 abhängig von der gesamten Prozessstrategie zu dienen. Danach wird eine geeignete Ätzmaske möglicherweise in Form einer Hartmaske durch Lithographie bereitgestellt, um damit die laterale Größe der Metallgebiete 152 zu definieren. Es sollte beachtet werden, dass die laterale Größe sowie der Abstand benachbarter Metallleitungen 152 deutlich variieren kann, selbst innerhalb der gleichen Metallisierungsebene abhängig von dem Gesamtkonzept der darunter liegenden Bauteilebene 110. Wie zuvor erläutert ist, können die in 1a gezeigten Metallleitungen 152 dicht lie gende Metallleitungen in einigen anschaulichen Ausführungsformen repräsentieren, wobei die laterale Größe und der Abstand kritische Abmessungen für das betrachtete Lithographie- und Strukturierungsschema repräsentieren. Auf der Grundlage der entsprechenden Ätzmaske können entsprechende Öffnungen gebildet und nachfolgend mit einem geeigneten Material, etwa dem Barrierenmaterial 153, falls dieses erforderlich ist, und einem gut leitenden Metall, etwa Kupfer, Kupferlegierungen, Silber, Aluminium und dergleichen gefüllt werden. Das Abscheiden des Barrierenmaterials 153 wird unter Anwendung von Sputter-Abscheidung, elektrochemische Abscheidung, CVD, Atomlagenabscheidung (ALD) und dergleichen bewerkstelligt. Typischerweise kann das Abscheiden des gut leitenden Metalls auf der Grundlage elektrochemischer Abscheideverfahren bewerkstelligt werden, etwa stromloser Abscheidung, Elektroplattieren und dergleichen. Anschließend wird überschüssiges Material, etwa das gut leitende Material und Reste des Barrierenmaterials 153, das ebenfalls ein leitendes Material aufweist, entfernt mittels einer geeigneten Abtragungsprozesstechnik, etwa CMP, und dergleichen.
  • 1b zeigt schematisch das Bauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, wobei der Einfachheit halber die Metallisierungsschicht 150 ohne darunter liegende Metallisierungsschichten und die Bauteilebene 110 gezeigt ist. Wie dargestellt ist das Bauelement 100 der Auswirkung einer Ätzumgebung 111 ausgesetzt, die zum Entfernen von Material der dielektrischen Schicht 151 selektiv zu den Metallgebieten 152a, ..., 152c gestaltet ist. Zu diesem Zweck kann ein beliebiges geeignetes nasschemisches oder plasmauntestütztes Ätzrezept eingesetzt werden, das gewünschte Ätzselektivität aufweist. Beispielsweise ist es, wie zuvor erläutert ist, schwierig, kupfergestützte Materialien auf der Grundlage von gut etablierten plasmaunterstützten Ätzrezepten abzutragen und somit führt dies zu einer gewünschten Ätzselektivität im Hinblick auf eine Vielzahl von plasmaunterstützten Ätzchemien zum Entfernen des Materials der Schicht 151. In anderen Fallen weisen die Metallleitungen 152a, ..., 152c eine leitende Deckschicht (nicht gezeigt), beispielsweise aus entsprechenden Legierungen oder Metallverbindungen, um damit den Kupfereinschluss und ein verbessertes Elektromigrationsverhalten zu erreichen. Beispielsweise führen entsprechende Legierungen, etwa Kobalt, Phosphor, Wolfram und dergleichen, zu einer ausgeprägten Ätzselektivität in Bezug auf Ätzrezepte zum Entfernen dielektrischer Materialien, etwa siliziumbasierter Materialien, einer Vielzahl von Polymermaterialien und dergleichen. Abhängig von dem Ätzwiderstand des Barrierenmaterials 153 können auch sehr isotrope Ätztechniken, etwa nasschemische Ätztechniken, während des Prozesses 111 eingesetzt werden, um Material der dielektrischen Schicht 151 abzutragen. Während des Prozesses 111 werden Vertiefungen 154 in freigelegten Bereichen des dielektrischen Materials 151 gebildet. Eine Tiefe 154d der Vertiefungen 154 kann auf der Grundlage der Ätzzeit während des Prozesses 111 für eine vorgegebene Abtragsrate eingestellt werden, wobei die Ätzrate auf der Grundlage von Experimenten und dergleichen bestimmt werden kann. In anderen Fallen wird die Tiefe 154d auf Grundlage von Ätzsteuermaterialien eingestellt, wie dies nachfolgend detaillierter erläutert ist. In einigen anschaulichen Ausführungsformen wird die Tiefe 154d der Vertiefungen 154 so eingestellt, dass ein oberer Bereich der Metallleitungen 152a, 152c bis zu einer Tiefe freigelegt wird, die kleiner ist als die Hälfte der Dicke der Metallleitungen 152a, 152c. In diesem Falle wird eine kleinere Prozesszeit während des Prozesses 111 erreicht. In anderen Fällen wird die Tiefe 154d auf einen anderen beliebigen geeigneten Wert festgelegt, wobei dies von den gesamten Erfordernissen und den konformen Abscheideeigenschaften eines nachfolgenden Abscheideprozesses zur Herstellung einer Abstandshalterschicht abhängt.
  • 1c zeigt schematisch das Bauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist eine Abstandshalterschicht 155 über der dielektrischen Schicht 151 und somit innerhalb der Vertiefungen 154 ausgebildet, wobei jedoch eine Dicke der Schicht 155 so festgelegt ist, dass ein im Wesentlichen konformes Abscheideverhalten erreicht wird, woraus sich eine Oberflächentopographie ergibt, in der eine Dicke der Schicht 155, die als 155a bezeichnet ist, im Vergleich zu einer Dicke 155b der Schicht 155 unmittelbar lateral benachbart zu Seitenwänden der Metallleitungen 152a, ..., 152c kleiner ist. Die Abstandshalterschicht 155 kann auf der Grundlage einer beliebigen geeigneten Abscheidetechnik hergestellt werden, etwa CVD und dergleichen, wobei eine Materialzusammensetzung entsprechend den gesamten Bauteil- und Prozesserfordernissen ausgewählt wird. Zum Beispiel können gut etablierte dielektrische Materialien, etwa Siliziumnitrid, Siliziumdioxid, Siliziumoxinitrid und dergleichen verwendet werden. In anderen Fallen weist die Abstandshalterschicht 155 ein Ätzstoppmaterial auf, wie dies nachfolgend detaillierter beschrieben ist. In noch weiteren anschaulichen Ausführungsformen enthält die Abstandshalterschicht 155 ein leitendes Material, das mit dem freigelegten Bereich der Metallleitungen 152a, ..., 152c in Kontakt kommen kann, wodurch Integrität der freigelegten Bereiche dieser Metallgebiete, beispielsweise des Barrierenmaterials 153, „wieder hergestellt wird”, wenn ein gewisses Maß an Materialbeeinträchtigung während des vorhergehenden Ätzprozesses 111 aufgetreten ist.
  • 1d zeigt schematisch das Bauelement 100 während eines Ätzprozesses 112 zum Entfernen von Material der Abstandshalterschicht 155, um Abstandshalterelemente 155s an freigelegten Seitenwandbereichen in den Metallleitungen 152a, ..., 152c zu bilden. Der Ätzprozess 112 wird als eine im Wesentlichen anisotroper Ätzprozess ausgeführt, für welchen eine Vielzahl gut etablierter Rezepte für Materialien, etwa Siliziumnitrid, Siliziumdioxid, eine Vielzahl leitender Materialien und dergleichen, verfügbar sind. In der in 1d gezeigten Ausführungsform besitzt der Ätzprozess 112 eine gewisse Selektivität in Bezug auf das Material der dielektrischen Schicht 151, wodurch eine bessere Prozessgleichmäßigkeit für die nachfolgende Bearbeitung des Bauelements 100 geschaffen wird. In einigen anschaulichen Ausführungsformen weist die dielektrische Schicht 151 zumindest an einer Oberfläche davon ein geeignetes Material auf, etwa Siliziumdioxid, das für die gewünschten Ätzstoppeigenschaften sorgt, beispielsweise in Bezug auf Ätzchemien, die zum Ätzen von Siliziumnitrid oder anderen Materialien selektiv zu Siliziumdioxid ausgelegt sind. In anderen Fällen wird die Ätzstoppschicht innerhalb der Abstandshalterschicht 155 vorgesehen, wie dies nachfolgend beschrieben wird.
  • Somit kann auf der Grundlage der Abstandshalterelemente 155s eine reduzierte Breite 154w für die zuvor gebildeten Vertiefungen verhalten werden, wobei die resultierende Breite 154w somit die laterale Abmessung eines Spalts festlegt, der zwischen benachbarten Metallleitungen 152 zu bilden ist.
  • 1e zeig schematisch das Bauelement 100 während eines Ätzprozesses 113, der auf Grundlage von Prozessparametern so ausgeführt wird, dass ein im Wesentlichen anisotropes Ätzverhalten erreicht wird. Beispielsweise können gut etablierte Ätzrezepte eingesetzt werden, in denen die Abtragsrate der Abstandshalterelemente 155s geringer ist im Vergleich zur Abtragsrate des Materials 151, so dass die Abstandshalter 155s als eine Ätzmaske dienen. Auf Grund des anisotropen Verhaltens des Ätzprozesses 113 wird ein Spalt 156 zwischen benachbarten Metallleitungen 152 mit einer Breite 156w gebildet, die im Wesentlichen durch die reduzierte Breite 154w bestimmt ist. Des weiteren wird eine Tiefe 156d auf der Grundlage der Prozesszeit des Ätzprozesses 113 für eine gegebene Abtragsrate eingestellt und wird entsprechend den Bauteilerfordernissen ausgewählt. D. h., abhängig von der gewünschten Ausdehnung eines Luftspalts, der auf Grundlage des Spalts 156 in einer späteren Fertigungsphase zu bilden ist, wird die Tiefe 156d durch Steuern des Ätz prozesses 113 eingestellt. Folglich können die Abmessungen 156d, 156w des Spaltes 156 auf Grundlage von Abscheidetechniken definiert werden, um die Abstandshalterschicht 155 zu bilden, um auf der Grundlage von Ätztechniken zur Bildung der Vertiefung 154 und des Spaltes 156, ohne das lithographisch gebildete Ätzmasken erforderlich sind. Des weiteren kann die Breite 156w auf einem beliebigen gewünschten Wert festgelegt werden, ohne dass dies durch die Lithographieeigenschaften beschränkt ist, während auch die Tiefe 156d frei entsprechend den Bauteil- und Prozesserfordernissen eingestellt werden kann. Beispielsweise kann sich die Tiefe 156d bis zu einem Höhenniveau erstrecken, das an einem beliebigen Punkt innerhalb der vertikalen Abmessung der Metallleitungen 152 liegt, oder die Tiefe kann sich über die untere Fläche der Metallleitungen 152 bei Bedarf hinaus erstrecken. Auf diese Weise kann die effektive Permittivität des dielektrischen Materials 151 zwischen den dichtliegenden Metallleitungen 152 in einer selbstjustierten und zuverlässigen und reproduzierbaren Weise eingestellt werden, indem der Spalt 156 geeignet positioniert und dimensioniert wird, ohne dass kostenintensive Lithographieschritte erforderlich sind.
  • In einigen anschaulichen Ausführungsformen werden die Ätzprozesses 112 und 113 als ein kombinierter Ätzprozess ohne eine erforderliche ausgeprägte Ätzselektivität zwischen Abstandshalterelementen 155s und dem Material der Schicht 151 ausgeführt. D. h., die Abstandshalterschicht 155 (siehe 1c) wird mit einer beliebigen geeigneten Materialzusammensetzung gebildet, beispielsweise wird im Wesentlichen das gleiche Material wie für die Schicht 151 verwendet, sofern die ausgeprägte Oberflächentopographie erreicht wird, wie dies durch die Dickenwerte 155a, 155b angegeben ist. Folglich wird während eines kombinierten Ätzprozesses Material der Abstandshalterschicht 155 entfernt und schließlich an Bereichen mit der reduzierten Dicke 155 wird das Material der Schicht 151 entfernt, während die größere Dicke 155d an den Seitenwänden der Metallleitungen 152 für die gewünschte maskierende Wirkung sorgen. Somit kann auch in diesem Falle der Spalt 156 mit einer Tiefe 156d gebildet werden, die zumindest dem Dickenunterschied zwischen den Werten 155a, 155b entspricht. In anderen Fällen kann eine noch ausgeprägtere Tiefe 156d für den Spalt 156 während eines einzelnen Ätzprozesses erreicht werden, wenn die Materialien der Schicht 155 und der Schicht 151 unterschiedliche Abtragsraten besitzen, beispielsweise kann das Material der Schicht 155 eine geringere Ätzrate aufweisen.
  • 1f zeigt schematisch das Bauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist eine Deckschicht 157 aus einem beliebigen geeigneten dielektri schen Material über den Metallleitungen 152 ausgebildet, um damit entsprechende Luftspalte 156a innerhalb der zuvor gebildeten Spalte 156 einzuschließen. Zu diesem Zweck wird die Schicht 157 mittels einer konformen Abscheidetechnik aufgebracht, wobei das geringere Aspektverhältnis der Spalte 156 zu einer geringeren Abscheiderate innerhalb der zuvor gebildeten Spalte 156 führt, während ein oberer Bereich davon Überhänge ausbildet, die schließlich zu einem Verschließen der Spalten 156 führen, ohne dass eine signifikante Materialabscheidung stattfindet, so dass die Luftspalte 156a den wesentlichen Teil der zuvor gebildeten Spalte 156 repräsentieren. Geeignete Prozessparameter für das Abscheiden des Materials 157 können effizient für Experimente ermittelt werden, wobei auch eine Vielzahl von Abscheiderezepten für viele dielektrische Materialien, etwa dotiertes Siliziumdioxid, Material mit kleinem ε mit adäquatem mechanischen Verhalten und dergleichen verfügbar sind. Auf Grund des hohen Maßes an Gleichmäßigkeit, das zum Definieren der Spalte 156 erreichbar ist, werden auch die Abmessungen und die Positionen der Luftspalte 156a mit einem hohen Maß an Genauigkeit und Reproduzierbarkeit erreicht, so dass die gesamte Permittivität des dielektrischen Materials zwischen dichtliegenden Metallleitungen 152 zuverlässig einstellbar ist. Die Deckschicht 157 wird in einigen anschaulichen Ausführungsformen in Form von im Wesentlichen dem gleichen Material wie in der Schicht 151 vorgesehen, während in anderen Fallen ein anderes geeignetes Material eingesetzt wird, beispielsweise im Hinblick auf einen nachfolgend ausgeführten Einebnungsprozess zum Reduzieren der Oberflächentopographie der Schicht 157. Es sollte beachtet werden, dass das Erzeugen von Luftspalten in Bauteilgebieten im Wesentlichen vermieden werden kann, in denen der laterale Abstand zwischen benachbarten Metallleitungen deutlich größer ist, wie dies auf der linken Seite und auf der rechten Seite der Metallleitungen 152a, 152c angegeben ist. In anderen Fällen wird das Erzeugen der Luftspalte 156a auf kritische Bauteilbereiche beschränkt, indem eine entsprechende Maske vorgesehen wird, wie dies nachfolgend detaillierter beschrieben ist.
  • Nach dem Abscheiden der Schicht 157 wird die weitere Bearbeitung fortgesetzt, indem beispielsweise die Oberflächentopographie bei Bedarf eingeebnet wird, was durch CMP und dergleichen bewerkstelligt werden kann, wobei eine obere Fläche der Metallleitungen 152 als eine Stoppschicht dienen kann, oder wobei ein gewisser Anteil der Schicht 157 beibehalten wird, um als eine Deckschicht und Ätzstoppmaterial für die weitere Bearbeitung zu dienen, beispielsweise zur Herstellung weiterer Metallisierungsebenen über der Metallisierungsschicht 150. In noch anderen anschaulichen Ausführungsformen wird eine CMP- Stoppschicht in der Deckschicht 157 vorgesehen, beispielsweise durch zunächst Abscheiden eines entsprechenden Materials, etwa Siliziumnitrid, Siliziumdioxid und dergleichen, woran sich ein gewünschtes dielektrisches Material anschließt, etwa Material, wie es für die Schicht 151 verwendet wird, oder ein anderes geeignetes Material. Wenn der entsprechenden Abscheidesequenz werden die Luftspalte 156a nicht notwendigerweise vollständig für das Abscheiden des CMP-Stoppmaterials geschlossen, sondern können offen bleiben und werden dann vollständig durch den weiteren Abscheideschritt geschlossen.
  • Folglich enthalten in der gezeigten Ausführungsform die Metallleitungen 152a, ..., 152c die Abstandshalterelemente 155s an einem oberen Bereich davon, die an einem Steg aus Material der Schicht 151 gebildet sind, wobei die Abstandshalter 155s in Verbindung mit dem Steg 151f und zusammen mit dem Material der Schicht 157 die Luftspalte 156a bilden. In einigen anschaulichen Ausführungsformen sind die Abstandshalterelemente 155s aus einem dielektrischen Material, etwa Siliziumnitrid, Siliziumdioxid und dergleichen aufgebaut, wie dies zuvor angegeben ist, während in anderen Fällen die Abstandshalter 155s ein leitendes Material aufweisen, etwa Tantal, Tantalnitrid, Titan, Wolfram, Aluminium, und dergleichen, wodurch die Gesamtleitfähigkeit der Metallgebiete 152a, ..., 152c verbessert wird. Das Vorsehen eines leitenden Barrierenmaterials kann daher zu einer verbesserten Integrität der Metallleitungen führen, wenn ein gewisses Maß an Ätzschädigung während des Freilegens oberer Seitenwandbereiche der Metallleitungen 152 aufgetreten ist. In einigen anschaulichen Ausführungsformen wird das zuvor bereitgestellte Barrierenmaterial 253 bewusst während des Prozesses zur Herstellung der Vertiefung 254 (siehe 1b) entfernt, die Abstandshalterschicht 155 wird mit einer geeigneten Zusammensetzung aus dielektrischen und leitenden Materialien bereitgestellt, um damit die gewünschten Barriereneigenschaften zu erreichen, während auch die Gesamtleitfähigkeit der Metallleitungen 152a, ..., 152c verbessert wird.
  • 1g zeigt schematisch einen Teil der Metallisierungsschicht 150 gemäß weiterer anschaulicher Ausführungsformen, in denen die Abstandshalterschicht 155 in Form zweier oder mehrerer Teilschichten 155a, 155b vorgesehen wird, wobei die Schicht 155b als eine Ätzstoppschicht dient. Beispielsweise wird die Schicht 155a in Form von Siliziumnitridmaterial vorgesehen, während die Schicht 155b in Form von Siliziumdioxid vorgesehen ist, um als effizientes Ätzstoppmaterial auf der Grundlage gut etablierter Ätzrezepte zu dienen. Folglich wird beim Bilden der Abstandshalterelemente 155s der anisotrope Ätzprozess auf oder innerhalb der Schicht 155b angehalten, bevor tatsächlich der Ätzprozess 113 (1e) zur Herstellung des Spaltes 156 ausgeführt wird. In diesem Falle kann ein hohes Maß an Gleichmäßigkeit während des Ätzprozesses 113 erreicht werden, so dass eine gewünschte Tiefe des Spaltes 156 auf der Grundlage der Prozesszeit mit hoher Gleichmäßigkeit eingestellt werden kann. In einigen anschaulichen Ausführungsformen wird zumindest die Ätzstoppschicht 155w in Form eines leitenden Barrierenmaterials vorgesehen, etwa in Form von Tantalnitrid, Tantal und dergleichen, um damit den Metallanschluss in den Metallleitungen 152a, 152b zu verbessern, ohne die Gesamtleitfähigkeit der Metallleitungen zu beeinträchtigen. Während des Ätzprozesses 113 werden Bereiche der Ätzstoppschicht 155b, die nicht durch die Abstandshalterelemente 155s bedeckt sind, zuverlässig entfernt, wodurch die elektrische Isolation zwischen den Metallleitungen 152a, 152b erreich wird.
  • Mit Bezug zu den 1h bis 1j werden weitere anschauliche Ausführungsformen nunmehr beschrieben, in denen die Tiefe 154d der Vertiefungen 154 (siehe 1b) auf der Grundlage einer Ätzsteuer- oder Ätzstoppschicht definiert wird.
  • 1h zeigt schematisch das Bauelement 100 in einer Fertigungsphase vor dem Strukturieren der dielektrischen Schicht 151. Wie gezeigt, enthält die Schicht 151 eine Ätzstopp- oder Äztsteuerschicht 151a, die an einer Höhe angeordnet ist, so dass ein gewünschter Wert für die Tiefe 154d der Vertiefungen 154 definiert wird, die in einer späteren Fertigungsphase zu bilden sind.
  • 1i zeigt schematisch das Bauelement 100 in einer Fertigungsphase ähnlich zur Phase in 1a, wobei jedoch die dielektrische Schicht 151 die Ätzsteuer- oder Ätzstoppschicht 151a aufweist. Die Schicht 151a ist an einer Höhe angeordnet, die einen gewünschten Wert der Tiefe 154d entspricht. Zu diesem Zweck wird während des Abscheideprozesses zur Herstellung der dielektrischen Schicht 151 der Abscheideparametersatz in geeigneter Weise so eingestellt, dass das Material 151a mit einer geeigneten Materialzusammensetzung und Dicke erhalten wird. Beispielsweise wird das dielektrische Material 151 durch chemische Dampfabscheidung gebildet, wobei nach dem Erreichen einer gewissen Schichtdicke zumindest ein Prozessparameter, beispielsweise die Durchflussrate eines Vorstufengases und dergleichen, geändert wird, um die Materialzusammensetzung des abgeschiedenen Materials zu modifizieren, wodurch die Schicht 151a gebildet wird. In anderen anschaulichen Ausführungsformen wird ein geeignet gestalteter separater Abscheideprozess ausge führt, um die Schicht 151a mit einer gewünschten Dicke und Materialzusammensetzung bereitzustellen. Beispielsweise repräsentieren Siliziumdioxid, Siliziumnitrid, Siliziumkarbid stickstoffangereichertes Siliziumkarbid und dergleichen geeignete Kandidaten für die Schicht 151a. In noch anderen anschaulichen Ausführungsformen wird eine Oberflächenbehandlung eines Teils der Schicht 151, die zuvor abgeschieden wurde, ausgeführt, beispielsweise in Form einer Plasmabehandlung, um dadurch einen freigelegten Oberflächenbereich des bislang abgeschiedenen Materials zu ändern oder anderweitig zu modifizieren. In anderen Fällen wird eine Indikatorsorte eingebaut, beispielsweise durch Plasmabehandlung oder durch Einbringen dieser Sorte in die Abscheideatmosphäre für das Material 151, um damit die Schicht 151a zu bilden. Die Indikatorsorte repräsentiert ein geeignetes Material, das beim Freisetzen in einer entsprechenden Ätzumgebung ein ausgeprägtes Endpunkterkennungssignal erzeugt, das effizient durch Endpunkterkennungssysteme erfasst werden kann, die typischerweise in gut etablierten plasmaunterstützten Ätzanlagen vorgesehen sind. Die entsprechende Indikatorsorte wird ggf. mit einer moderat geringen Konzentration vorgesehen, wenn ein ausgeprägtes und gut detektierbares Signal erzeugt wird. Somit können die Gesamteigenschaften der Schicht 151 im Wesentlichen unmodifiziert bleiben, wobei dennoch für eine verbesserte Steuerung während der weiteren Bearbeitung des Bauelements 100 gesorgt ist. Nach dem Bilden der Ätzsteuerschicht oder der Ätzstoppschicht 151a wird die weitere Bearbeitung fortgesetzt, indem Material der Schicht 151 so abgeschieden wird, dass die gewünschte endgültige Dicke erreicht wird.
  • 1j zeigt schematisch das Bauelement 100 während des Ätzprozesses 111 zur Herstellung der Vertiefungen 154, wobei der Prozess 111 auf Grundlage der Schicht 151 gesteuert wird, wie dies auch zuvor erläutert ist.
  • Mit Bezug zu den 1k bis 1m werden weitere anschauliche Ausführungsformen beschrieben, in denen die Tiefe 156d der Spalte 156 (siehe 1e) auf Grundlage einer Ätzsteuerschicht oder Ätzstoppschicht definiert wird.
  • 1k zeigt schematisch das Bauelement 100 während einer Fertigungsphase vor dem Bilden der Metallgebiete 152a, 152b. Wie gezeigt, enthält die dielektrische Schicht 151 eine Ätzstopp- oder Ätzsteuerschicht 151b, die an einer Höhe positioniert ist, die einen gewünschten Wert der Tiefe 156d entspricht. Im Hinblick auf das Herstellen der dielektrischen Schicht 151 mit der Schicht 151b und im Hinblick auf eine Materialzusammensetzung der Schicht 151b gelten die gleichen Kriterien, wie sie zuvor mit Bezug zu der Ätzstopp- oder Ätzsteuerschicht 161a erläutert sind. Es sollte beachtet werden, dass die Schicht 151a (in 1k nicht gezeigt) und die Schicht 151b beide in der Schicht 151 vorgesehen sein können, wenn die Steuerung sowohl der Tiefe 156d als auch die Tiefe 154d gewünscht ist.
  • 1l zeigt schematisch das Bauelement 100 mit den Metallleitungen 152a, 152b, die in der dielektrischen Schicht 151 gebildet sind. In der in 1l gezeigten Ausführungsform sei angenommen, dass die Tiefe 156d kleiner ist als die vertikale Ausdehnung der Metallleitungen 152a, 152g. Folglich erstrecken sich die Metallgebiete 152a, 152b durch die Schicht 151b hindurch. Dies kann erreicht werden, indem die Strukturierungssequenz zur Herstellung der jeweiligen Öffnungen in der Schicht 151 geeignet modifiziert wird. D. h., während des Strukturierens der Schicht 151 wird die Ätzfront innerhalb der Schicht 151b angehalten und die entsprechende Ätzchemie kann geändert werden, um durch die Schicht 151 zu ätzen und danach wird ein abschließender Ätzschritt ausgeführt, beispielsweise auf der Grundlage der zuvor angewendeten Ätzchemie, um damit die schließlich gewünschte Tiefe der entsprechenden Gräben für die Metallleitungen 152a, 152b zu erhalten. In diesem Falle wird ebenfalls eine verbesserte Steuerbarkeit des Ätzprozesses zum Strukturieren der Metallleitungen 152a, 152b erreicht, da die entsprechenden Ätzstoppeigenschaften der Schicht 151b zu einer „Angleichung” in dem Ätzschritt führen, so dass der nachfolgende Ätzschritt nach dem Öffnen der Ätzstoppschicht 151b zu einer verbesserten Gleichmäßigkeit innerhalb des Substrats für die Gräben für die Metallleitungen 152a, 152b führt. In anderen anschaulichen Ausführungsformen wird die Ätzstoppschicht 151b so positioniert, dass auch die Tiefe der Metallleitungen 152a, 152b definiert wird, wenn eine entsprechende vertikale Abmessung der schließlich erhaltenen Luftspalte 156a mit den Bauteilerfordernissen kompatibel ist. In noch anderen anschaulichen Ausführungsformen wir die Ätzstoppschicht 151b an einer Höhe angeordnet, die unterhalb der Unterseite der Metallleitungen 152a, 152b liegt, wobei dennoch eine verbesserte Gleichmäßigkeit der Spalte 156 erreich wird, unabhängig von der größeren Ätztiefe auf Grund des Vorsehens der Ätzstoppschicht 151b.
  • 1m zeigt schematisch das Bauelement 100 während des Ätzprozesses 113, wodurch die Spalte 156 mit der gewünschten Tiefe 156d, wie sie durch die Ätzstoppschicht 156b festgelegt ist, erhalten werden. In einigen anschaulichen Ausführungsformen werden freigelegte Bereiche der Ätzstoppschicht 151b nach dem Ätzprozess 113 entfernt, um nicht in unerwünschter Weise die Gesamteigenschaften der dielektrischen Schicht 151 zu modifi zieren. Somit wird ein hohes Maß an Freiheit im Hinblick auf das Auswählen eines geeigneten Materials für die Ätzstoppschicht 151b erreicht, ohne das Gesamtverhalten der Schicht 151 wesentlich zu beeinflussen.
  • Mit Bezug zu den 1n bis 1o werden nunmehr weitere anschauliche Ausführungsformen beschrieben, in denen die Abstandshalterelemente 155s nach dem Bilden der Spalte 156 entfernt werden.
  • 1n zeigt schematisch das Bauelement 100 nach dem Ausführen des Ätzprozesses 113 (siehe 1e), wodurch die Spalte 156 zwischen den dichtliegenden Metallleitungen 152a, 152b bereitgestellt werden. In einigen anschaulichen Ausführungsformen enthalten, wie gezeigt, die Abstandshalter 155s ein Beschichtungsmaterial 155l, das beispielsweise aus einem leitenden Barrierenmaterial oder einem anderen geeigneten Material, etwa einem dielektrische Ätzstoppmaterial, und dergleichen aufgebaut ist. In anderen Fällen werden die Abstandshalter 155s als ein einzelnes Material vorgesehen, wenn die gewünschte Ätzselektivität zwischen den Abstandshaltern 155s und dem verbleibenden Material der Schicht 151 gegeben ist.
  • 1o zeigt schematisch das Bauelement 100 während eines weiteren Ätzprozesses 114 zum Entfernen der Abstandshalterelemente 155s selektiv zu dem verbleibenden Material 151. Zu diesem Zweck wird ein nasschemisches Ätzrezept oder ein plasmaunterstütztes Ätzrezept angewendet, wobei dies von der Materialzusammensetzung der Schicht 151 und den Abstandshaltern 155s abhängt. In einigen anschaulichen Ausführungsformen wird der Ätzprozess 114 im Wesentlichen ohne Ätzselektivität zwischen den Materialien der Abstandshalter 155s und dem Material 151 ausgeführt, wobei die Beschichtung 155l für die gewünschte Ätzstoppeigenschaft sorgt. In diesem Falle kann die schließlich gewünschte Tiefe des Spalts 156 während des Ätzprozesses 114 eingestellt werden, wie dies durch die gestrichelte Linie 156e in 1o gezeigt ist.
  • Mit Bezug zu den 1p und 1q werden nunmehr weitere anschauliche Ausführungsformen beschrieben, wobei die Herstellung der Luftspalte 156a auf kritische Bauteilbereiche beschränkt ist.
  • 1p zeigt schematisch das Bauelement 100 in einer Fertigungsphase vor dem Bilden der Spalte 156, beispielsweise nach dem Bilden der Abstandshalterschicht 155. Wie gezeigt ist eine Ätzmaske 166 vorgesehen, die ein kritisches Bauteilgebiet 157 freilegt, das in der gezeigten Ausführungsform zumindest den Abstand zwischen den dichtliegenden Metallleitungen 152a, 152b enthält. Andererseits bedeckt die Maske 116 andere Bauteilbereiche, in denen die Ausbildung der Luftspalte 156a oder ein merklicher Abtrag von Material der Schicht 151 nicht gewünscht ist. Es sollte beachtet werden, dass die Ätzmaske 116, beispielsweise in Form einer Lackmaske und dergleichen, auf Grundlage von Lithographietechniken gebildet werden kann, die jedoch weniger kritisch sind, da die lateralen Abmessungen der kritischen Bauteilgebiete 157 größer sind als die gewünschten lateralen Abmessungen der Spalte 156, die in dem Gebiet 157 zu bilden sind. Somit können im Wesentlichen nicht-kritische Prozessparameter während eines entsprechenden Lithographieprozesses angewendet werden. Insbesondere ist die Justiergenauigkeit zum Definieren des Gebiets 157 weniger kritisch, da die Lage des Spalts 156, der in dem Gebiet 157 zu bilden ist, selbstjustiert ist, wie dies zuvor erläutert ist. Auf der Grundlage der Ätzmaske 116 können sowohl der Ätzprozess 112 als auch der Prozess 113 ausgeführt werden, um damit den Spalt 156 zwischen den Metallleitungen 152a, 152b zu erhalten, wie dies zuvor erläutert ist. Danach wird die weitere Bearbeitung fortgesetzt, indem die Maske 116 entfernt wird und ein geeignetes dielektrisches Material zur Herstellung des entsprechenden Luftspalts 156a abgeschieden wird.
  • 1q zeigt schematisch das Bauelement 100 gemäß einer weiteren anschaulichen Ausführungsform, in der die Ätzmaske 116 nach dem Bilden der Abstandshalterelemente 155s bereitgestellt wird. In diesem Falle wird nach dem Abscheiden der Abstandshalterschicht 155 der Ätzprozess 112 ausgeführt, wie dies zuvor beschrieben ist, und danach wird die Maske 116 durch Lithographie auf der Grundlage nicht-kritischer Prozessbedingungen hergestellt, wie dies auch zuvor erläutert ist. Anschließend wird der Ätzprozess 113 ausgeführt, so dass der Spalt 156 innerhalb des kritischen Bauteilgebiets 157 erhalten wird. Nach dem Entfernen der Ätzmaske 116 wird die weitere Bearbeitung fortgesetzt, wie dies auch zuvor beschrieben ist.
  • Es gilt also: Die vorliegende Offenbarung stellt Techniken und Mikrostrukturbauelemente bereit, in denen die Permittivität eines dielektrischen Materials einer Metallisierungsschicht auf der Grundlage von Luftspalte eingestellt werden kann, die in einer selbstjustierten Wei se ohne Lithographieprozesse zum Definieren der Position und zum Einstellen der schließlich erreichten Größe der Luftspalte geschaffen werden. Folglich können beliebige geeignete dielektrische Materialien eingesetzt werden, wobei dennoch für eine geringe Gesamtpermittivität zumindest innerhalb kritischer Bauteilgebiete gesorgt ist, so dass die gesamte Handhabung der Metallisierungsschicht während der diversen Fertigungsprozesse verbessert ist, während gleichzeitig eine gewünschte geringe Permittivität bereitgestellt wird. Die Positionierung und Dimensionierung der Luftspalte kann auf der Grundlage von Abscheide- und Ätzprozessen erreicht werden, wobei die laterale Größe der Luftspalte unterhalb den Auflösungseigenschaften entsprechender Lithographietechniken liegt, die zur Herstellung des betrachteten Mikrostrukturbauelements angewendet werden. Beispielsweise kann eine zuverlässige und reproduzierbare Einstellung der Gesamtpermittivität zwischen Metallleitungen mit geringem Abstand in Halbleiterbauelementen erreicht werden, in denen Transistorelemente in der Bauteilebene mit kritischen Abmessungen von 50 nm und deutlich weniger, etwa 30 nm und weniger, vorgesehen sind.
  • Weitere Modifizierungen und Variationen der vorliegenden Offenbarung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der vorliegenden Erfindung zu vermitteln. Selbstverständlich sind die hierin beschriebenen und gezeigten Formen als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (25)

  1. Verfahren mit: Bilden einer Vertiefung in einem dielektrischen Material einer Metallisierungsschicht eines Halbleiterbauelements, wobei die Vertiefung sich zwischen zwei benachbarten Metallgebieten, die in dem dielektrischen Material ausgebildet sind, erstreckt; Bilden eines Abstandshalterelements an Seitenwänden der Vertiefung; und Bilden eines Spalts zwischen den zwei benachbarten Metallgebieten unter Verwendung des Abstandshalterelements als Ätzmaske.
  2. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer Deckschicht über dem Spalt, um zumindest einen Bereich des Spalts als eine dielektrische Barriere zwischen den beiden benachbarten Metallgebieten beizubehalten.
  3. Verfahren nach Anspruch 1, wobei Bilden der Vertiefung umfasst: Ausführen eines Ätzprozesses zum Entfernen von Material des dielektrischen Materials selektiv zu den zwei benachbarten Metallgebieten.
  4. Verfahren nach Anspruch 1, das ferner umfasst: Vorsehen einer ersten Ätzsteuerschicht in dem dielektrischen Material, um eine Tiefe der Vertiefung einzustellen.
  5. Verfahren nach Anspruch 1, das ferner umfasst: Vorsehen einer zweiten Ätzsteuerschicht in dem dielektrischen Material, um eine Tiefe des Spalts einzustellen.
  6. Verfahren nach Anspruch 1, das ferner umfasst: Entfernen des Abstandshalterelements nach dem Bilden des Spalts.
  7. Verfahren nach Anspruch 1, das fenrer umfasst: Bilden einer Maske, um ein erstes Bauteilgebiet freizulegen und ein zweites Bauteilgebiet abzudecken, wobei das erste Bauteilgebiet einen Abstand zwischen den zwei benachbarten Metallgebieten enthält.
  8. Verfahren nach Anspruch 1, wobei Bilden des Abstandshalterelements umfasst: Bilden einer Ätzstoppschicht über dem dielektrischen Material nach dem Bilden der Vertiefung und Bilden einer Abstandshalterschicht auf der Ätzstoppschicht.
  9. Verfahren nach Anspruch 8, wobei die Ätzstoppschicht ein Barrierenmaterial zum Unterdrücken einer Metalldiffusion umfasst.
  10. Verfahren nach Anspruch 8, wobei die Ätzstoppschicht ein leitendes Material umfasst.
  11. Verfahren nach Anspruch 10, das ferner umfasst: Entfernen von Bereichen der Ätzstoppschicht, die nicht durch das Abstandshalterelement bedeckt sind.
  12. Verfahren nach Anspruch 1, wobei Bilden des Abstandshalterelements umfasst: Abscheiden eines leitenden Materials und anisotropes Ätzen des leitenden Materials, um das Abstandshalterelement zu erhalten.
  13. Verfahren mit: Bilden einer Vertiefung zwischen einer ersten Metallleitung und einer zweiten Metallleitung, wobei die erste und die zweite Metallleitung in einem dielektrischen Material einer Metallisierungsschicht eines Mikrostrukturbauelements gebildet sind; Definieren einer reduzierten Breite der Vertiefung durch Abscheiden einer Abstandshalterschicht in die Vertiefung; und Bilden eines Spalts zwischen der ersten und der zweiten Metallleitung auf der Grundlage der reduzierten Breite.
  14. Verfahren nach Anspruch 13, wobei Definieren der reduzierten Breite Bilden eines Abstandshalterelements in der Vertiefung umfasst.
  15. Verfahren nach Anspruch 13, wobei Bilden des Spalts umfasst: Ausführen eines anisotropen Ätzprozesses und Verwenden der Abstandshalterschicht als eine Ätzmaske.
  16. Verfahren nach Anspruch 15, wobei das Ausführen des anisotropen Ätzprozesses umfasst: Entfernen von Material der Abstandshalterschicht und des dielektrischen Materials der Metallisierungsschicht in einem gemeinsamen Prozess.
  17. Verfahren nach Anspruch 14, das ferner Entfernen des Abstandshalterelements nach dem Bilden des Spaltes umfasst.
  18. Verfahren nach Anspruch 13, das ferner umfasst: Abdecken eines Bereichs der Metallisierungsschicht mittels einer Ätzmaske und Bilden des Spaltes in einem nicht abgedeckten Bereich der Metallisierungsschicht.
  19. Verfahren nach Anspruch 13, das ferner umfasst: Abscheiden einer dielektrischen Deckschicht über der Metallisierungsschicht nach dem Bilden des Spalts, um zumindest einen Bereich des Spalts zum Reduzieren der kapazitiven Kopplung zwischen der ersten und der zweiten Metallleitung beizubehalten.
  20. Mikrostrukturbauelement mit: einer ersten Metallleitung, die in einem dielektrischen Material einer Metallisierungsschicht gebildet ist; einer zweiten Metallleitung, die in dem dielektrischen Material der Metallisierungsschicht lateral benachbart zu der ersten Metallleitung gebildet ist; einem Luftspalt, der in dem dielektrischen Material zwischen der ersten und der zweiten Metallleitung angeordnet ist; einem ersten Abstandshalterelement, das an einem Bereich einer ersten Seitenwand der ersten Metallleitung ausgebildet ist, der einer zweiten Seitenwand der zweiten Metallleitung zugewandt ist; und einem zweiten Abstandshalterelement, das an einem Bereich der zweiten Seitenwand der zweiten Metallleitung gebildet ist.
  21. Bauelement nach Anspruch 20, wobei das erste und das zweite Abstandshalterelement sich nicht über die gesamte Dicke der ersten und der zweiten Metallleitung erstrecken.
  22. Bauelement nach Anspruch 21, wobei das erste und das zweite Abstandshalterelement sich von einer Höhe, die einer oberen Oberfläche der ersten und der zweiten Metallleitung entspricht, bis zu weniger als die Hälfte der ersten und zweiten Metallleitung erstrecken.
  23. Bauelement nach Anspruch 20, das ferner mindestens einige Metallleitungen aufweist, die in dem dielektrischen Material der Metallisierungsschicht ohne einen benachbarten Luftspalt ausgebildet sind.
  24. Bauelement nach Anspruch 20, das ferner Transistorelemente mit einer Gatelänge von ungefähr 30 nm oder weniger aufweist.
  25. Bauelement nach Anspruch 24, wobei eine laterale Größe des Luftspalts kleiner ist als eine Gatelänge der Transistorelemente.
DE102008026134A 2008-05-30 2008-05-30 Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen Ceased DE102008026134A1 (de)

Priority Applications (4)

Application Number Priority Date Filing Date Title
DE102008026134A DE102008026134A1 (de) 2008-05-30 2008-05-30 Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
US12/400,983 US20090294898A1 (en) 2008-05-30 2009-03-10 Microstructure device including a metallization structure with self-aligned air gaps between closely spaced metal lines
TW098116995A TW201005878A (en) 2008-05-30 2009-05-22 Microstructure device including a metallization structure with self-aligned air gaps between closely spaced metal lines
PCT/US2009/003296 WO2009154696A2 (en) 2008-05-30 2009-05-30 Microstructure device including a metallization structure with self-aligned air gaps between closely spaced metal lines

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102008026134A DE102008026134A1 (de) 2008-05-30 2008-05-30 Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen

Publications (1)

Publication Number Publication Date
DE102008026134A1 true DE102008026134A1 (de) 2009-12-17

Family

ID=41317578

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102008026134A Ceased DE102008026134A1 (de) 2008-05-30 2008-05-30 Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen

Country Status (4)

Country Link
US (1) US20090294898A1 (de)
DE (1) DE102008026134A1 (de)
TW (1) TW201005878A (de)
WO (1) WO2009154696A2 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102014119127A1 (de) * 2013-12-30 2015-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Verbindungsstruktur und Verfahren zum Ausbilden derselben

Families Citing this family (138)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101375388B (zh) * 2006-01-18 2011-08-03 Nxp股份有限公司 金属线之间的自对准沟槽的集成
US7973409B2 (en) * 2007-01-22 2011-07-05 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8304906B2 (en) * 2010-05-28 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Partial air gap formation for providing interconnect isolation in integrated circuits
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9455178B2 (en) 2014-03-14 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9607881B2 (en) * 2014-06-20 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Insulator void aspect ratio tuning by selective deposition
US9269668B2 (en) * 2014-07-17 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect having air gaps and polymer wrapped conductive lines
US9583434B2 (en) 2014-07-18 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Metal line structure and method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) * 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN106033741B (zh) * 2015-03-20 2020-09-15 联华电子股份有限公司 金属内连线结构及其制作方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10490447B1 (en) 2018-05-25 2019-11-26 International Business Machines Corporation Airgap formation in BEOL interconnect structure using sidewall image transfer
US10395986B1 (en) * 2018-05-30 2019-08-27 International Business Machines Corporation Fully aligned via employing selective metal deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN110880475B (zh) * 2018-09-06 2023-06-16 长鑫存储技术有限公司 空气隙形成方法
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5510645A (en) * 1993-06-02 1996-04-23 Motorola, Inc. Semiconductor structure having an air region and method of forming the semiconductor structure
US6035530A (en) * 1999-03-19 2000-03-14 United Semiconductor Corp. Method of manufacturing interconnect
US6232214B1 (en) * 1999-04-19 2001-05-15 United Microelectronics Corp. Method for fabricating inter-metal dielectric layer
DE10109877A1 (de) * 2001-03-01 2002-09-19 Infineon Technologies Ag Leiterbahnanordnung und Verfahren zur Herstellung einer Leiterbahnanordnung
US6717269B2 (en) * 2001-07-27 2004-04-06 Motorola, Inc. Integrated circuit device having sidewall spacers along conductors
US6838355B1 (en) * 2003-08-04 2005-01-04 International Business Machines Corporation Damascene interconnect structures including etchback for low-k dielectric materials
WO2007083237A1 (en) * 2006-01-18 2007-07-26 Stmicroelectronics (Crolles 2) Sas Integration of self-aligned trenches in-between metal lines

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274477B1 (en) * 1999-06-19 2001-08-14 United Microelectronics Corp. Method of fabricating conductive line structure
KR100343291B1 (ko) * 1999-11-05 2002-07-15 윤종용 반도체 장치의 커패시터 형성 방법
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6884689B2 (en) * 2001-09-04 2005-04-26 United Microelectronics Corp. Fabrication of self-aligned bipolar transistor
US7449407B2 (en) * 2002-11-15 2008-11-11 United Microelectronics Corporation Air gap for dual damascene applications
US6917109B2 (en) * 2002-11-15 2005-07-12 United Micorelectronics, Corp. Air gap structure and formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device
KR100607647B1 (ko) * 2003-03-14 2006-08-23 주식회사 하이닉스반도체 반도체소자의 제조 방법
JP4106048B2 (ja) * 2004-10-25 2008-06-25 松下電器産業株式会社 半導体装置の製造方法及び半導体装置
US7352607B2 (en) * 2005-07-26 2008-04-01 International Business Machines Corporation Non-volatile switching and memory devices using vertical nanotubes
KR100640662B1 (ko) * 2005-08-06 2006-11-01 삼성전자주식회사 장벽금속 스페이서를 구비하는 반도체 소자 및 그 제조방법
JP4309911B2 (ja) * 2006-06-08 2009-08-05 株式会社東芝 半導体装置およびその製造方法
US20080026541A1 (en) * 2006-07-26 2008-01-31 International Business Machines Corporation Air-gap interconnect structures with selective cap
KR100829603B1 (ko) * 2006-11-23 2008-05-14 삼성전자주식회사 에어 갭을 갖는 반도체 소자의 제조 방법
KR101244456B1 (ko) * 2007-07-10 2013-03-18 삼성전자주식회사 콘택 스페이서를 구비하는 콘택 구조체의 형성 방법 및이를 이용한 반도체 소자의 제조 방법
US8513637B2 (en) * 2007-07-13 2013-08-20 Macronix International Co., Ltd. 4F2 self align fin bottom electrodes FET drive phase change memory
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US7829450B2 (en) * 2007-11-07 2010-11-09 Infineon Technologies Ag Method of processing a contact pad, method of manufacturing a contact pad, and integrated circuit element
US7772706B2 (en) * 2007-12-27 2010-08-10 Intel Corporation Air-gap ILD with unlanded vias

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5510645A (en) * 1993-06-02 1996-04-23 Motorola, Inc. Semiconductor structure having an air region and method of forming the semiconductor structure
US6035530A (en) * 1999-03-19 2000-03-14 United Semiconductor Corp. Method of manufacturing interconnect
US6232214B1 (en) * 1999-04-19 2001-05-15 United Microelectronics Corp. Method for fabricating inter-metal dielectric layer
DE10109877A1 (de) * 2001-03-01 2002-09-19 Infineon Technologies Ag Leiterbahnanordnung und Verfahren zur Herstellung einer Leiterbahnanordnung
US6717269B2 (en) * 2001-07-27 2004-04-06 Motorola, Inc. Integrated circuit device having sidewall spacers along conductors
US6838355B1 (en) * 2003-08-04 2005-01-04 International Business Machines Corporation Damascene interconnect structures including etchback for low-k dielectric materials
WO2007083237A1 (en) * 2006-01-18 2007-07-26 Stmicroelectronics (Crolles 2) Sas Integration of self-aligned trenches in-between metal lines

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102014119127A1 (de) * 2013-12-30 2015-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Verbindungsstruktur und Verfahren zum Ausbilden derselben
US9230911B2 (en) 2013-12-30 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
US9564397B2 (en) 2013-12-30 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
DE102014119127B4 (de) * 2013-12-30 2020-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Verbindungsstruktur und Verfahren zum Ausbilden derselben

Also Published As

Publication number Publication date
TW201005878A (en) 2010-02-01
US20090294898A1 (en) 2009-12-03
WO2009154696A3 (en) 2010-03-04
WO2009154696A2 (en) 2009-12-23

Similar Documents

Publication Publication Date Title
DE102008026134A1 (de) Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102005052000B3 (de) Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
DE102008063430B4 (de) Verfahren zur Herstellung eines Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
DE102007020268B3 (de) Halbleiterbauelement und Verfahren zum Verhindern der Ausbildung von elektrischen Kurzschlüssen aufgrund von Hohlräumen in der Kontaktzwischenschicht
DE102010029533B3 (de) Selektive Größenreduzierung von Kontaktelementen in einem Halbleiterbauelement
DE102010064289B4 (de) Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
DE102009006798B4 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102004042169B4 (de) Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102011085203B4 (de) Herstellungsverfahren für Halbleiterbauelemente mit Durchgangskontakten
DE102008006962A1 (de) Halbleiterbauelement mit einem Kondensator im Metallisierungssystem und ein Verfahren zur Herstellung des Kondensators
DE102005020132A1 (de) Technik zur Herstellung selbstjustierter Durchführungen in einer Metallisierungsschicht
DE102008006960A1 (de) Selbstjustierte Kontaktstruktur in einem Halbleiterbauelement
DE102006035668B4 (de) Verfahren zum Herstellen einer Ätzindikator- und Ätzstoppschicht zur Reduzierung von Ätzungleichförmigkeiten
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE102009010845A1 (de) Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten und wieder aufgefüllten Luftspaltausschließungszonen
DE10140754A1 (de) Leiterbahnanordnung und Verfahren zum Herstellen einer Leiterbahnanordnung
DE102009010844A1 (de) Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen
DE102004052577B4 (de) Verfahren zur Herstellung einer dielektrischen Ätzstoppschicht über einer Struktur, die Leitungen mit kleinem Abstand enthält
DE102008059499A1 (de) Mikrostrukturbauelement mit einer Metallisierungsstruktur mit Luftspalten, die zusammen mit Kontaktdurchführungen hergestellt sind
DE102008044964B4 (de) Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen
DE102007004884A1 (de) Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum durch stromlose Abscheidung unter Anwendung einer selektiv vorgesehenen Aktivierungsschicht
DE102006041004B4 (de) Technik zum Reduzieren plasmainduzierter Ätzschäden während der Herstellung von Kontaktdurchführungen in Zwischenschichtdielektrika

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R002 Refusal decision in examination/registration proceedings
R003 Refusal decision now final
R003 Refusal decision now final

Effective date: 20141128