DE102014119644A1 - Metalgatestapel mit TiAICN als Arbeitsfunktionsschicht und/oder Sperr/Benetzungsschicht - Google Patents

Metalgatestapel mit TiAICN als Arbeitsfunktionsschicht und/oder Sperr/Benetzungsschicht Download PDF

Info

Publication number
DE102014119644A1
DE102014119644A1 DE102014119644.9A DE102014119644A DE102014119644A1 DE 102014119644 A1 DE102014119644 A1 DE 102014119644A1 DE 102014119644 A DE102014119644 A DE 102014119644A DE 102014119644 A1 DE102014119644 A1 DE 102014119644A1
Authority
DE
Germany
Prior art keywords
layer
multifunction
tialcn
wetting
barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102014119644.9A
Other languages
English (en)
Inventor
Shiu-Ko Jangjian
Chi-Wen Liu
Chi-Cherng Jeng
Ting-Chun Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/328,299 external-priority patent/US9337303B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102014119644A1 publication Critical patent/DE102014119644A1/de
Granted legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Ein Metallgatestapel, der ein Titanaluminiumcarbonitrid (TiAlCN) als eine Arbeitsfunktionsschicht und/oder eine Multifunktionssperrschicht/-benetzungsschicht aufweist und Verfahren zu dessen Herstellung werden offenbart. Bei einem Beispiel umfasst eine integrierte Schaltung ein Halbleitersubstrat und einen über dem Halbleitersubstrat angeordneten Gatestapel. Der Gatestapel umfasst eine Gatedielektrikumschicht, die über dem Halbleitersubstrat angeordnet ist, eine Multifunktionssperrschicht/-benetzungsschicht, die über der Gatedielektrikumschicht angeordnet ist, wobei die Multifunktionssperrschicht/-benetzungsschicht TiAlCN umfasst, eine Arbeitsfunktionsschicht, die über der Multifunktionssperrschicht/-benetzungsschicht angeordnet ist, und eine leitende Schicht, die über der Arbeitsfunktionsschicht angeordnet ist.

Description

  • QUERVERWEIS ZU VERWANDTEN ANMELDUNGEN
  • Diese Anmeldung ist eine Continuation-in-Part von US-Anmeldung Nr. 13/244,355, die am 24. September 2011 eingereicht wurde, deren gesamte Offenbarung hiermit durch Bezugnahme aufgenommen ist.
  • ALLGEMEINER STAND DER TECHNIK
  • Die Industrie für integrierte Schaltungen (IC) ist rapide gewachsen. Technische Fortschritte bei den IC-Materialien und dem IC-Design haben Generationen von ICs hervorgebracht, wobei jede Generation kleinere und komplexere Schaltungen aufweist als die vorherige Generation. Diese Fortschritte haben die Komplexität der Verarbeitung und Herstellung von ICs erhöht, und damit diese Fortschritte realisiert werden können, werden ähnliche Entwicklungen bei der IC-Verarbeitung und -Herstellung benötigt. Im Zuge der IC-Entwicklung hat die Funktionsdichte (d. h. die Anzahl der miteinander verbundenen Bauelemente pro Chipfläche) allgemein zugenommen, während die geometrische Größe (d. h. die kleinste Komponente (oder Leitung), die sich mit einem Fertigungsprozess erreichen lässt) abgenommen hat. Dieser Abwärtsskalierungsprozess bietet allgemein Vorteile durch Steigerung der Produktionseffizienz und Senkung der zugehörigen Kosten. Eine solche Abwärtsskalierung hat auch die Komplexität der Verarbeitung und Herstellung von ICs erhöht, und damit diese Fortschritte realisiert werden können, werden ähnliche Entwicklungen bei der IC-Verarbeitung und -Herstellung benötigt.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Die vorliegende Offenbarung wird aus der folgenden ausführlichen Beschreibung am besten verstanden, wenn sie mit den begleitenden Figuren gelesen wird. Es wird betont, dass gemäß der üblichen Praxis in der Branche verschiedene Merkmale nicht maßstäblich gezeichnet sind und nur für Veranschaulichungszwecke verwendet werden. Tatsächlich können die Dimensionen der verschiedenen Merkmale zur Übersichtlichkeit der Erörterung willkürlich vergrößert oder reduziert sein.
  • 1 ist ein Flussdiagramm eines Verfahrens zum Herstellen einer integrierten Schaltung gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
  • Die 2 bis 7 sind diagrammatische Schnittdarstellungen einer integrierten Schaltung während verschiedener Stufen des Verfahrens von 1 gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele bereit, um unterschiedliche Merkmale der Erfindung zu implementieren. Es werden nachfolgend spezielle Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht begrenzen. Beispielsweise kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei denen die ersten und zweiten Merkmale in direktem Kontakt gebildet sind, und auch Ausführungsformen, bei denen zusätzliche Funktionen zwischen den ersten und zweiten Merkmalen gebildet sein können, sodass die ersten und zweiten Merkmale nicht in direktem Kontakt sein können. Außerdem kann die vorliegende Offenbarung Bezugsnummern und/oder -zeichen in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient zum Zweck der Einfachheit und Übersichtlichkeit und diktiert nicht an sich eine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • 1 ist ein Flussdiagramm eines Verfahrens 100 zum Herstellen einer integrierten Schaltung in Abschnitten oder in der Gesamtheit gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Das Verfahren 100 beginnt bei Block 110, wo eine Gatestruktur über einem Substrat gebildet wird. Die Gatestruktur weist einen Gatestapel auf, der eine High-k-Dielektrikumschicht umfasst, die über dem Substrat angeordnet ist, und ein Dummy-Gate, das über der High-k-Dielektrikumschicht angeordnet ist. Bei Block 120 wird das Dummy-Gate von der Gatestruktur entfernt, um eine Öffnung darin zu bilden. Bei Block 130 werden eine Multifunktionssperrschicht/-benetzungsschicht, eine Arbeitsfunktionsschicht und eine leitende Schicht gebildet, um die Öffnung zu füllen. Die Multifunktionssperrschicht/-benetzungsschicht wird über der High-k-Dielektrikumschicht gebildet, die Arbeitsfunktionsschicht wird über der Multifunktionssperrschicht/-benetzungsschicht gebildet und die leitende Schicht wird über der Arbeitsfunktionsschicht gebildet. Die Multifunktionssperrschicht/-benetzungsschicht umfasst ein Material, das ausreichend verhindert (oder reduziert), dass Metallverunreinigungen in die High-k-Dielektrikumschicht (beispielsweise von der leitenden Schicht) während des Verarbeitens eindringen, während es ausreichende Benetzbarkeit (mit anderen Worten, gewünschte Grenzschichtqualität) mit der Arbeitsfunktionsschicht bereitstellt. Das Verfahren 100 kann bei Block 140 fortfahren, um die Herstellung der integrierten Schaltung abzuschließen. Es können zusätzliche Stufen vor, während und nach dem Verfahren 100 bereitgestellt sein und einige der beschriebenen Stufen können für zusätzliche Ausführungsformen des Verfahrens 100 ersetzt oder eliminiert sein.
  • Die 2 bis 7 sind diagrammatische Schnittdarstellungen einer integrierten Schaltung 200, in einem Abschnitt oder in der Gesamtheit, bei verschiedenen Stufen der Herstellung gemäß dem Verfahren 100 von 1. Die 2 bis 7 sind der Eindeutigkeit halber vereinfacht worden, damit die erfindungsgemäßen Konzepte der vorliegenden Offenbarung besser verstanden werden. In der dargestellten Ausführungsform umfasst die integrierte Schaltung 200 ein Feldeffekttransistorbauelement wie einen n-Kanal-Feldeffekttransistor (NFET) oder einen p-Kanal-Feldeffekttransistor (PFET). Die integrierte Schaltung 200 kann in Speicherzellen und/oder Logikschaltungen enthalten sein, die passive Bauelemente wie Widerstände, Kondensatoren, Induktoren und/oder Sicherungen umfassen; aktive Bauelemente wie Metalloxidhalbleiter-Feldeffekttransistoren (MOSFETs), komplementäre Metalloxid-Halbleitertransistoren (CMOSs), Hochspannungstransistoren und/oder Hochfrequenztransistoren; andere geeignete Komponenten; oder Kombinationen davon. Zusätzliche Funktionen können in der integrierten Schaltung 200 hinzugefügt sein und einige der nachfolgend beschriebenen Merkmale können ersetzt oder bei anderen Ausführungsformen der integrierten Schaltung 200 eliminiert sein.
  • In 2 umfasst die integrierte Schaltung 200 ein Substrat 210. In der dargestellten Ausführungsform ist das Substrat 210 ein Halbleitersubstrat einschließlich Silizium. Alternativ oder zusätzlich umfasst das Substrat 210 einen weiteren Elementhalbleiter wie Germanium; einen Verbindungshalbleiter einschließlich Siliziumkarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter einschließlich SiGe, GaAsP, AlInAs, AlGaAs, GalnAs, GaInP und/oder GaInAsP; oder Kombinationen davon. Bei noch einer weiteren Alternative ist das Substrat 210 ein Halbleiter auf Isolator (SOI). Bei anderen Alternativen kann das Halbleitersubstrat 210 eine dotierte epi-Schicht, eine Gradienten-Halbleiterschicht und/oder eine Halbleiterschicht umfassen, die einer anderen Halbleiterschicht eines unterschiedlichen Typs überlagert ist, wie eine Siliziumschicht auf einer Silizium-Germanium-Schicht. Das Substrat 210 umfasst abhängig von Designanforderungen der integrierten Schaltung 200 verschiedene Dotierungskonfigurationen. Beispielsweise kann das Substrat 210 verschiedene dotierte Zonen umfassen, die mit p-Dotierstoffen wie Bor oder BF2; n-Dotierstoffen wie Phosphor oder Arsen; oder Kombinationen davon dotiert sind. Die dotierten Zonen können auf dem Halbleitersubstrat in einer P-Wannenstruktur, in einer N-Wannenstruktur in einer Doppelwannenstruktur oder unter Verwendung einer angehobenen Struktur gebildet sein.
  • Ein Isolierungsmerkmal 212 ist im Substrat 210 angeordnet, um verschiedene Zonen und/oder Bauelemente des Substrats 210 zu isolieren. Das Isolierungsmerkmal 212 verwendet Isolierungstechnologie wie lokale Oxidation von Silizium (LOCOS) und/oder flache Grabenisolation (STI), um die verschiedenen Zonen zu definieren und galvanisch zu trennen. Das Isolierungsmerkmal 212 umfasst Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid und andere geeignete Materialien oder Kombinationen davon. Das Isolierungsmerkmal 212 wird durch jeden geeigneten Prozess gebildet. Beispielsweise umfasst das Bilden einer STI das Verwenden eines Lithografieprozesses, um einen Abschnitt des Substrats freizulegen, das Ätzen eines Grabens im freigelegten Abschnitt des Substrats (beispielsweise unter Verwendung einer Trockenätzung und/oder Nassätzung) und Füllen des Grabens (beispielsweise unter Verwendung eines chemischen Gasphasenabscheidungsprozesses) mit einem oder mehreren Dielektrika. Beispielsweise kann der gefüllte Graben eine mehrschichtige Struktur wie eine thermische Oxid-Mantelschicht aufweisen, die mit Siliziumnitrid oder Siliziumoxid gefüllt ist.
  • Eine Gatestruktur 220 wird über dem Substrat 210 angeordnet. In der dargestellten Ausführungsform umfasst die Gatestruktur 220 einen Gatestapel, der eine Zwischendielektrikumschicht 222, eine High-k-Dielektrikumschicht 224 und eine Dummygateschicht 226 aufweist. Die Zwischendielektrikumschicht 222 und die High-k-Dielektrikumschicht 224 können gemeinsam als eine Gatedielektrikumschicht der Gatestruktur 220 bezeichnet werden. Der Gatestapel kann zusätzliche Schichten, wie eine Hartmaskenschicht, eine Verkappungsschicht, eine Diffusions-/Sperrschicht, eine Dielektrikumschicht, eine Metallschicht, andere geeignete Schichten oder Kombinationen davon umfassen. Die Gatestruktur 220 wird durch einen Prozess gebildet, der Abscheidungsprozesse, Lithografiemusterungsprozesse, Ätzprozesse, andere geeignete Prozesse oder Kombinationen davon umfasst. Die Abscheidungsprozesse schließen physikalische Gasphasenabscheidung (PVD), chemische Gasphasenabscheidung (CVD), Atomlagenabscheidung (ALD), plasmaunterstützte CVD (PECVD), Remote-Plasma-CVD (RPCVD), molekulare organische CVD (MOCVD), Sputtern, Plattieren, andere geeignete Verfahren oder Kombinationen davon ein. Die Lithografiemusterungsprozesse schließen Resistbeschichtung (beispielsweise Spin-On-Beschichtung), Vorhärten, Maskenausrichten, Belichtung, Nachbelichtungsbrand, Fotolack entwickeln, Spülen, Trocknen (beispielsweise Nachhärten), andere geeignete Prozesse oder Kombinationen davon ein. Der Lithografiebelichtungsprozess kann durch andere geeignete Verfahren wie maskenlose Lithografie, Elektronenstrahlschreiben, Ionenstrahlschreiben und molekulares Prägen implementiert oder ersetzt werden. Die Ätzprozesse schließen Trockenätzen, Nassätzen oder Kombinationen davon ein.
  • Die Zwischendielektrikumschicht 222 wird über dem Substrat 210 angeordnet. Bei einem Beispiel weist die Zwischendielektrikumschicht 222 eine Dicke von ungefähr 5 Å bis zu ungefähr 20 Å auf. In der dargestellten Ausführungsform ist die Zwischendielektrikumschicht 222 eine Oxid enthaltende Schicht, wie eine Siliziumoxid-(SiO2)-Schicht oder eine Siliziumoxynitrid-(SiON)-Schicht. Die Zwischenschicht 222 kann andere geeignete Materialien umfassen. Die Zwischendielektrikumschicht 222 wird durch eine chemische Oxid-Technik, thermische Oxid-Technik, Atomlagenabscheidung (ALD), chemische Gasphasenabscheidung (CVD) oder eine andere geeignete Technik gebildet. Ein Reinigungsprozess, wie ein HF-Last-Pre-Gate-Reinigungsprozess (beispielsweise unter Verwendung einer Hydrofluor-(HF)-Säurelösung) kann ausgeführt werden, bevor die Zwischendielektrikumschicht 222 über dem Substrat 210 gebildet wird.
  • Die High-k-Dielektrikumschicht 224 wird über der Zwischendielektrikumschicht 222 angeordnet und die Dummygateschicht 226 wird über der High-k-Dielektrikumschicht 224 angeordnet. Eine Dicke der High-k-Dielektrikumschicht 224 und der Dummygateschicht 226 hängt von Designanforderungen der integrierten Schaltung 200 ab. Bei einem Beispiel weist die High-k-Dielektrikumschicht 224 eine Dicke von ungefähr 5 Å bis zu ungefähr 30 Å und die Dummygateschicht eine Dicke von ungefähr 350 Å bis zu ungefähr 700 Å auf. Die High-k-Dielektrikumschicht 224 umfasst ein High-k-Dielektrikum wie HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, Zirkonoxid, Aluminiumoxid, Hafniumdioxid-Aluminiumoxid-(HfO2-Al2O3)-Legierung, andere geeignete High-k-Dielektrika oder Kombinationen davon ein. Die Dummygateschicht 226 umfasst ein für einen Gateaustauschprozess geeignetes Material. Beispielsweise umfasst in der dargestellten Ausführungsform die Dummygateschicht 226 Polysilizium.
  • Die Gatestruktur 220 umfasst weiter Abstandselemente 228, die durch einen geeigneten Prozess gebildet sind. Beispielsweise wird eine Dielektrikumschicht, wie eine Siliziumnitridschicht, über der integrierten Schaltung 200 ganzflächig abgeschieden; und dann wird die Siliziumnitridschicht anisotropisch geätzt, um die Siliziumnitridschicht zu entfernen und die Abstandselemente 228 wie veranschaulicht in 2 zu bilden. Die Abstandselemente 228 werden angrenzend an den Seitenwänden des Gatestapels (Zwischendielektrikumschicht 222, High-k-Dielektrikumschicht 224 und Dummygateschicht 226) von der Gatestruktur 220 angeordnet. Alternativ oder zusätzlich schließen die Abstandselemente 228 ein anderes Dielektrikum wie Siliziumoxid, Siliziumcarbonitrid oder Kombinationen davon ein.
  • Verschiedene Source/Drain-Merkmale 230 können im Substrat 210 angeordnet sein. Die Source/Drain-Merkmale 230 liegen beidseits der Gatestruktur 220. Die Source/Drain-Merkmale 230 können schwach dotierte Source- und Drain-(LDD)-Zonen und/oder hoch dotierte (HDD) Source- und Drain-Zonen umfassen. Die LDD- und/oder HDD-Zonen können durch Ionenimplantation oder Diffusion von n-Dotierstoffen, wie Phosphor oder Arsen oder p-Dotierstoffen wie Bor oder BF2 gebildet werden. Ein Temperprozess, wie ein rasches thermisches Ausheilen und/oder ein thermisches Laserausheilen, kann ausgeführt werden, um Dotierstoffe der LDD- und/oder HDD-Zonen zu aktivieren. Die LDD- und/oder HDD-Zonen können zu irgendeinem Zeitpunkt in der dargestellten Ausführungsform gebildet werden. Die Source/Drain-Merkmale 230 können erhöhte Source/Drain-Merkmale wie epitaxiale Merkmale (beispielsweise epitaxiale Silizium-Germanium-Merkmale oder epitaxiale Silizium-Merkmale) umfassen. Silizid-Merkmale können über den Source/Drain-Merkmalen 230 angeordnet sein, um beispielsweise einen Kontaktwiderstand zu reduzieren. Die Silizidmerkmale können über den Source- und Drain-Merkmalen durch einen selbstausrichtenden Salicide-Prozess gebildet werden, der das Abscheiden einer Metallschicht, das Tempern der Metallschicht in einer Weise, dass die Metallschicht mit Silizium reagieren kann, um Silizid zu bilden, und dann das Entfernen der nicht reagierten Metallschicht umfassen kann.
  • Eine Dielektrikumschicht 232 wird über dem Substrat 210 angeordnet, wie eine Zwischenschicht-(oder Zwischenlage)-Dielektrikum(ILD)-Schicht. Die Dielektrikumschicht 232 umfasst ein Dielektrikum, wie Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Tetraethylorthosilicat (TEOS) gebildetes Oxid, Phosphosilicatglas (PSG), Borophosphosilicatglas (BPSG), Low-k-Dielektrikum, ein anderes geeignetes Dielektrikum oder Kombinationen davon. Beispielhafte Low-k-Dielektrika schließen fluoriertes Kieselglas (FSG), kohlenstoffdotiertes Siliziumoxid, Black Diamond® (Applied Materials von Santa Clara, Kalifornien), Xerogel, Aerogel, amorpher fluorierter Kohlenstoff, Parylen, BCB (Bisbenzocyclobuten), SiLK (Dow Chemical, Midland, Michigan), Polyimid, andere geeignete Materialien und/oder Kombinationen davon ein. Die Dielektrikumschicht 232 kann eine Mehrschichtstruktur umfassen, die mehrere Dielektrika umfasst. Die Dielektrikumschicht 232 wird durch einen geeigneten Prozess einschließlich durch CVD, High Density-Plasma-CVD, Spin-On und/oder andere geeignete Verfahren zu einer geeigneten Dicke gebildet. Nach der Abscheidung der Dielektrikumschicht 232 wird ein chemisch-mechanischer Polier-(CMP)-Prozess ausgeführt, bis ein oberer Abschnitt der Gatestruktur 220 erreicht/freigelegt ist. Insbesondere wird ein oberer Abschnitt des Gatestapels der Gatestruktur 220 (hier die Dummygateschicht 226) wie veranschaulicht in 2 freigelegt. Zusätzliche Schichten können der Dielektrikumschicht 232 überlagert und/oder unterlagert gebildet werden.
  • In den 3 bis 7 wird ein Gateaustauschprozess ausgeführt, bei dem die Dummygateschicht 226 mit einem Metallgate ersetzt wird. In 3 wird die Dummygateschicht 226 vom Gatestapel der Gatestruktur 220 entfernt, wodurch eine Öffnung 240 gebildet wird. Die Öffnung 240 legt die High-k-Gatedielektrikumschicht 224 frei. Die Dummygateschicht 226 kann durch einen Ätzprozess, einen anderen geeigneten Prozess oder Kombinationen davon entfernt werden. Bei einem Beispiel ätzt ein Ätzprozess die Dummygateschicht 226 selektiv.
  • In 4 wird eine Multifunktionssperrschicht/-benetzungsschicht 242 über dem Substrat 210 derart gebildet, dass die Multifunktionssperrschicht/-benetzungsschicht 242 -Schicht teilweise die Öffnung 240 füllt. Die Multifunktionssperrschicht/-benetzungsschicht 242 wird entlang den Seitenwänden der Gatestruktur 220 angeordnet, welche die Öffnung 240 definieren. In der dargestellten Ausführungsform ist die Multifunktionssperrschicht/-benetzungsschicht 242 über der High-k-Dielektrikumschicht 224 angeordnet. Bei einem Beispiel weist die Multifunktionssperrschicht/-benetzungsschicht 242 eine Dicke von ungefähr 30 Å bis zu ungefähr 100 Å auf. Die Multifunktionssperrschicht/-benetzungsschicht 242 fungiert sowohl als eine Blockier-(oder Sperr-)-Schicht als auch als eine Benetzungsschicht während des Verarbeitens. Beispielsweise verhindert oder reduziert die Multifunktionssperrschicht/-benetzungsschicht 242, dass Metallverunreinigungen in irgendwelche Dielektrikumschichten, die unter der Multifunktionssperrschicht/-benetzungsschicht 242 angeordnet sind (wie das Gate-Dielektrikum des Gatestapels der Gatestruktur 220) eindringen, während sie die Adhäsion zwischen der Schicht darunter und der Schicht darüber verbessert. Sie stellt auch eine wünschenswerte Grenzschichtqualität zwischen der Multifunktionssperrschicht/-benetzungsschicht 242 und jeder Materialschicht bereit, die über der Multifunktionssperrschicht/-benetzungsschicht 242 gebildet ist. Dementsprechend verhindert oder reduziert in der dargestellten Ausführungsform die Multifunktionssperrschicht/-benetzungsschicht 242, dass Metallverunreinigungen in die High-k-Dielektrikumschicht 224 und die Zwischendielektrikumschicht 222 eindringen, während sie die Adhäsion zwischen Schichten darunter und darüber, wie die High-k-Dielektrikumschicht 224 und eine Schicht des Gatestapels der Gatestruktur 220, die über der Multifunktionssperrschicht/-benetzungsschicht 242 gebildet ist (wie die Arbeitsfunktionsschicht 244), verbessert. Diese Funktionalität wird nachfolgend in weiteren Details beschrieben.
  • In der dargestellten Ausführungsform umfasst die Multifunktionssperrschicht/-benetzungsschicht 242 Titanaluminiumnitrid (TiAlN) und in beispielhaften Ausführungsformen ist das TiAlN als Titanaluminiumcarbonitrid (TiAlCN) vorhanden. Eine Atomkonzentration von Stickstoff und Kohlenstoff der TiAlCN-Schicht wird derart optimiert, dass die Multifunktionssperrschicht/-benetzungsschicht 242 hinreichend verhindert oder reduziert, dass Metallverunreinigungen in unterlagerte Dielektrikumschichten (beispielsweise High-k-Dielektrikumschicht 224 und Grenzschicht 222) eindringen, während sie eine Arbeitsfunktion der integrierten Schaltung 200 minimal beeinflusst. Daher ist die Stickstoffatomkonzentration und die Kohlenstoffatomkonzentration derart ausgewählt, dass sie das Sperrvermögen und die gewünschte Arbeitsfunktion ins Gleichgewicht bringen. In der dargestellten Ausführungsform umfasst die TiAlCN-Schicht eine Stickstoffatomkonzentration von ungefähr 5% bis zu ungefähr 15% und eine Kohlenstoffatomkonzentration von ungefähr 5% bis zu ungefähr 20%. Bei niedrigeren Stickstoff- und Kohlenstoffatomkonzentrationen (beispielsweise niedriger als ungefähr 5%) kann das Sperrvermögen sich in eine unerwünschte Richtung bewegen, während es sich näher zu der gewünschten Arbeitsfunktion verschiebt. Andererseits verschiebt sich bei höheren Stickstoff- und Kohlenstoffatomkonzentrationen (beispielsweise Stickstoffatomkonzentrationen größer als 15% und Kohlenstoffatomkonzentrationen größer als 20%) das Gleichgewicht von der gewünschten Arbeitsfunktion weg und bewegt sich zum gewünschten Sperrvermögen. In der dargestellten Ausführungsform umfasst das TiAlCN-Verhältnis ein Ti:Al-Verhältnis, das die Grenzschichtqualität (was als Benetzbarkeit bezeichnet werden kann) zwischen der Multifunktionssperrschicht/-benetzungsschicht 242 und einer überlagerten Schicht, die Aluminium umfasst, verbessert. Beispielsweise umfasst die TiAlCN-Schicht 242 ein Ti:Al-Verhältnis von ungefähr 1:1 bis zu ungefähr 1:3.
  • Der Prozess, der verwendet wird, um die Multifunktionssperrschicht/-benetzungsschicht 242, hier die TiAlCN-Schicht, zu bilden, wird angepasst, um eine optimale Blockier- und Benetzbarkeitsfunktionalität der Multifunktionssperrschicht/-benetzungsschicht 242 zu erreichen. In der dargestellten Ausführungsform wird physikalische Gasphasenabscheidung (PVD) verwendet, um die Multifunktionssperrschicht/-benetzungsschicht 242 zu bilden. Verschiedene Prozessparameter des PVD-Prozesses wie Substrattemperatur, Gastyp, Gasdurchfluss, Kammerdruck, Gleichstrom, Anpassungsstrom, Bearbeitungszeit, andere geeignete Parameter oder Kombinationen davon, werden angepasst, um die gewünschte Blockier- und Benetzbarkeitsfunktionalität zu erreichen. Alternativ wird die Multifunktionssperrschicht/-benetzungsschicht 242 durch andere Prozesse wie chemische Gasphasenabscheidung (CVD), Atomlagenabscheidung (ALD), plasmaunterstützte CVD (PECVD), Remote-Plasma-CVD (RPCVD), molekulare organische CVD (MOCVD), Sputtern, Plattieren, ein anderes geeignetes Verfahren oder Kombinationen davon gebildet. Verschiedene Prozessparameter von solchen alternativen Prozessen können angepasst werden, um die gewünschte Blockier- und Benetzbarkeitsfunktionalität der Multifunktionssperrschicht/-benetzungsschicht 242 zu erreichen.
  • In der dargestellten Ausführungsform scheidet ein Hochdruck-PVD-Prozess, der den Kammerdruck von ungefähr 100 mTorr bis zu ungefähr 5000 mTorr aufrechterhält, die Multifunktionssperrschicht/-benetzungsschicht 242 bei einer Temperatur von ungefähr 250°C bis zu ungefähr 450°C ab. Der Hochdruck-PVD-Prozess kann sicherstellen, dass die Multifunktionssperrschicht/-benetzungsschicht 242 hinreichend teilweise die Öffnung 240 füllt. Der Hochdruck-PVD-Prozess stellt eine geeignete Abdeckung, beispielsweise, für Öffnungen mit hohem Seitenverhältnis, wie die Öffnung 240, bereit. In der dargestellten Ausführungsform verweisen Öffnungen mit hohem Seitenverhältnis auf Öffnungen, die ein Verhältnis von Höhe zu Breite größer oder gleich 2,2 (Höhe/Breite > 2,2) aufweisen. Alternativ können Öffnungen mit hohem Seitenverhältnis durch andere Höhe-zu-Breite-Verhältnisse definiert werden.
  • Bei einer weiteren Ausführungsform umfasst die Multifunktionssperrschicht/-benetzungsschicht 242 mehrere TiAlCN-Schichten mit unterschiedlichem N%. Beispielsweise weist eine untere TiAlCN-Schicht ein höheres N%, wie von ungefähr 5% bis zu ungefähr 15%, und eine obere TiAlCN-Schicht ein niedrigeres N%, wie von ungefähr 2% bis zu ungefähr 5%, auf. In diesem Fall dient die untere TiAlCN-Schicht hauptsächlich als eine Sperrschicht während die obere TiAlCN-Schicht hauptsächlich als eine Arbeitsfunktionsschicht dient. Durch Auswählen eines geeigneten C% und N% wird ein optimiertes Sperrvermögen erreicht. Bei einem Beispiel liegt C% in einem Bereich von ungefähr 5% bis zu ungefähr 20%, während N% in einem Bereich von ungefähr 5% bis 15% liegt.
  • In 5 wird eine Arbeitsfunktionsschicht 244 über dem Substrat 210 gebildet, sodass die Arbeitsfunktionsschicht 244 teilweise die Öffnung 240 füllt. In der dargestellten Ausführungsform ist die Arbeitsfunktionsschicht 244 über der Multifunktionssperrschicht/-benetzungsschicht 242 angeordnet. Bei einem Beispiel weist die Arbeitsfunktionsschicht 244 eine Dicke von ungefähr 30 Å bis zu ungefähr 100 Å auf. Bei einem weiteren Beispiel weist die Arbeitsfunktionsschicht 244, die auf der Multifunktionssperrschicht/-benetzungsschicht 242 angeordnet ist, eine Dicke von ungefähr 30 Å bis zu ungefähr 100 Å auf und die Arbeitsfunktionsschicht 244, die entlang den Seitenwänden der Öffnung 240 angeordnet ist, kann eine Dicke kleiner als 30 Å oder eine Dicke von ungefähr 30 Å bis zu ungefähr 100 Å aufweisen. Die Arbeitsfunktionsschicht 244 umfasst ein Material, das angepasst werden kann, sodass es eine geeignete Arbeitsfunktion für eine gesteigerte Leistung des zugehörigen Gerätes aufweist. Bei einem p-Feldeffekttransistor-(PFET)-Bauelement umfasst die Arbeitsfunktionsschicht 244 beispielsweise ein p-Arbeitsfunktionsmaterial, das konfiguriert werden kann, sodass es einen gewünschten Arbeitsfunktionswert für die Gateelektrode des PFET aufweist. Bei einem n-Feldeffekttransistor-(NFET)-Bauelement umfasst die Arbeitsfunktionsschicht 244 andererseits ein n-Arbeitsfunktionsmaterial (wie TiAlCN), das konfiguriert sein kann, sodass es einen gewünschten Arbeitsfunktionswert der Gateelektrode des NFET aufweist. Die Arbeitsfunktionsschicht 244 wird durch physikalische Gasphasenabscheidung (PVD), chemische Gasphasenabscheidung (CVD), Atomlagenabscheidung (ALD), plasmaunterstützte CVD (PECVD), Remote-Plasma-CVD (RPCVD), molekulare organische CVD (MOCVD), Sputtern, Plattieren, andere geeignete Verfahren oder Kombinationen davon gebildet.
  • Bei beispielhaften Ausführungsformen ist die Arbeitsfunktionsschicht 244 eine n-Arbeitsfunktionsschicht die TiAlCN umfasst. In der dargestellten Ausführungsform umfasst die Arbeitsfunktionsschicht 244 TiAlCN, das eine unterschiedliche Zusammensetzung aufweist als das TiAlCN in der Multifunktionssperrschicht/-benetzungsschicht 242. Die Stickstoffatomkonzentration in der Arbeitsfunktionsschicht 244 ist beispielsweise niedriger ist als die Stickstoffatomkonzentration in der Multifunktionssperrschicht/-benetzungsschicht 242. Die Stickstoffatomkonzentration wird ausgewählt, um das Sperrvermögen und die gewünschte Arbeitsfunktion ins Gleichgewicht zu bringen. Bei einer Ausführungsform beträgt die Stickstoffatomkonzentration in der Arbeitsfunktionsschicht 244 ungefähr 2% bis zu ungefähr 5%. Das Aluminium in der Arbeitsfunktionsschicht 244 weist eine hohe Beweglichkeit auf und kann leicht in eine untere Schicht eindringen, wenn die Stickstoffatomkonzentration niedriger ist (z. B. kleiner als ungefähr 2%). Bei höheren Stickstoffatomkonzentrationen (z. B. höher als ungefähr 2%) kann der Stickstoff in der Arbeitsfunktionsschicht 244 sich an das Aluminium binden, eine beständige Phase bilden, und das Eindringen von Aluminium in eine untere Schicht reduzieren. Noch höhere Stickstoffatomkonzentrationen (z. B. höher als ungefähr 5%) können jedoch ein Wegbewegen von einer Soll- oder gewünschten Arbeitsfunktion bewirken.
  • In 6 wird eine leitende Schicht 246 über dem Substrat 210 derart gebildet, dass die leitende Schicht 246 teilweise die Öffnung 240 füllt. Die leitende Schicht 246 ist über der Arbeitsfunktionsschicht 244 angeordnet. Bei einem Beispiel weist die leitende Schicht 246 eine Dicke von ungefähr 300 Å bis zu ungefähr 1.500 Å auf. In der dargestellten Ausführungsform umfasst die leitende Schicht 246 Aluminium. Alternativ oder zusätzlich umfasst die leitende Schicht 246 Kupfer, Wolfram, eine Metalllegierung, ein metallisches Silizid, ein anderes leitfähiges Material oder Kombinationen davon. Die leitende Schicht 246 wird durch physikalische Gasphasenabscheidung (PVD), chemische Gasphasenabscheidung (CVD), Atomlagenabscheidung (ALD), plasmaunterstützte CVD (PECVD), Remote-Plasma-CVD (RPCVD), molekulare organische CVD (MOCVD), Sputtern, Plattieren, andere geeignete Verfahren oder Kombinationen davon gebildet.
  • In 7 wird ein chemisch-mechanischer Polier-(CMP)-Prozess ausgeführt, bis die Dielektrikumschicht 232 erreicht oder freigelegt ist. Der CMP-Prozess entfernt daher Abschnitte der Multifunktionssperrschicht/-benetzungsschicht 242, Arbeitsfunktionsschicht 244 und der leitenden Schicht 246, die über der Dielektrikumschicht 232 angeordnet sind. Die verbleibenden Abschnitte der Multifunktionssperrschicht/-benetzungsschicht 242, der Arbeitsfunktionsschicht 244 und der leitenden Schicht 246 kombinieren sich, um die Öffnung 240 zu füllen, sodass der Gatestapel der Gatestruktur 220 die Zwischendielektrikumschicht 222, die High-k-Dielektrikumschicht 224, die Multifunktionssperrschicht/-benetzungsschicht 242, die Arbeitsfunktionsschicht 244 und die leitende Schicht 246 umfasst. Die Multifunktionssperrschicht/-benetzungsschicht 242, die Arbeitsfunktionsschicht 244 und die leitende Schicht 246 können gemeinsam als eine Gateelektrode der Gatestruktur 220 bezeichnet werden.
  • Die integrierte Schaltung 200 kann andere Merkmale umfassen. Eine mehrschichtige Verbindung (MLI) einschließlich Metallschichten und Zwischenmetalldielektrikum-(IMD)-Schichten kann beispielsweise über dem Substrat 210 wie über der Dielektrikumschicht 232 gebildet sein, um elektrisch verschiedene Merkmale oder Strukturen der integrierten Schaltung 200 zu verbinden. Die mehrschichtige Verbindung umfasst vertikale Kopplungsstrukturen wie Vias oder Kontakte und horizontale Kopplungsstrukturen wie Metallleiterbahnen. Bei einem Beispiel umfasst die MLI-Verbindungsmerkmale zu den Source/Drain-Merkmalen 230 und/oder dem Gatestapel der Gatestruktur 220. Die verschiedenen Verbindungsmerkmale schließen verschiedene leitfähige Materialien einschließlich Aluminium, Kupfer, Titan, Wolfram, Legierungen davon, Silizidmaterialien, andere geeignete Materialien oder Kombinationen davon ein. Bei einem Beispiel wird ein Damaszierprozess oder doppelter Damaszierprozess verwendet, um eine mehrschichtige Kupfer- oder Aluminiumverbindungsstruktur zu bilden.
  • Die integrierte Schaltung 200 weist einen reduzierten Verluststrom auf, was zu einem verbesserten Betriebsverhalten des Bauelements führt. Ein solcher reduzierter Verluststrom und ein verbessertes Betriebsverhalten des Bauelements können durch die Multifunktionssperrschicht/-benetzungsschicht 242 im Gatestapel der Gatestruktur 220 erreicht werden. Die Multifunktionssperrschicht/-benetzungsschicht 242 kann Metallverunreinigungen ausreichend dabei blockieren, in unterlagerte Dielektrikumschichten einzudringen, während sie ausreichende Benetzbarkeit (Grenzschichtqualität) an überlagerte Schichten bereitstellt.
  • Ein konventioneller Gatestapel umfasst eine Gatedielektrikumschicht, eine über der Gatedielektrikumschicht angeordnete Arbeitsfunktionsschicht; eine Sperrschicht wie eine Tantalnitrid-(TaN)-Sperrschicht, die über der Arbeitsfunktionsschicht angeordnet ist; eine Benetzungsschicht wie eine Titan-(Ti)-Benetzungsschicht, die über der Sperrschicht angeordnet ist; und eine leitende Schicht, wie eine leitende Aluminium-(Al)-Schicht, die über der Benetzungsschicht angeordnet ist. Die TaN-Sperrschicht stellt ein Sperrvermögen bereit, das kleiner als wünschenswert ist, und es wurde beobachtet, dass Aluminiumverunreinigungen von der leitenden Aluminiumschicht während des Verarbeitens in die Gatedielektrikumschicht eindringen können. Obwohl die Ti-Benetzungsschicht ausreichende Benetzbarkeit an die leitende Al-Schicht bereitstell, wurde weiter beobachtet, dass Phasenumwandlungen zwischen der Ti-Benetzungsschicht und der leitenden Al-Schicht während des Verarbeitens auftreten, was zu Abschnitten der TaN-Sperrschicht führt, die während des Verarbeitens mit Ti interagieren, und letztendlich zu fehlenden Abschnitten der TaN-Sperrschicht führt (mit anderen Worten werden Abschnitte der TaN-Sperrschicht während des Verarbeitens verbraucht). Die fehlenden Abschnitte der TaN-Sperrschicht minimieren weiter die Fähigkeit der TaN-Sperrschicht, zu verhindern, dass Aluminiumverunreinigungen in die Gatedielektrikumschicht eindringen. Solche Phasenumwandlungen und fehlenden Abschnitte der TaN-Sperrschicht wurden auch beobachtet, wenn der Gatestapel eine Titanaluminium-(TiAl)-Benetzungsschicht umfasst.
  • Um diese Probleme zu adressieren, ersetzt die vorliegende Offenbarung die separate TaN-Sperrschicht und die Ti-Benetzungsschicht von konventionellen Gatestapeln mit der TiAlCN-Multifunktionssperrschicht/-benetzungsschicht 242. Die Sperrfähigkeit von TiAlCN überschreitet die Sperrfähigkeit von TiN und TaN (speziell ist die Sperrfähigkeit von TiAlCN > TiAlC > TiN >> TaN). Weiter stellt TiAlCN eine ausreichende Benetzbarkeit an eine leitende Al-Schicht bereit. Dementsprechend stellt die TiAlCN-Multifunktionssperrschicht/-benetzungsschicht verbesserte Sperrfähigkeit und Benetzbarkeit bereit, was zu reduziertem Verluststrom und verbessertem Bauelementbetriebsverhalten verglichen mit Gatestapeln führt, die eine konventionelle TaN-Sperrschicht/Ti-Benetzungsschicht umfassen. Unterschiedliche Ausführungsformen können unterschiedliche Vorteile aufweisen und es ist kein spezieller Vorteil zwangsläufig von irgendeiner Ausführungsform erforderlich.
  • Die vorliegende Offenbarung stellt viele unterschiedliche Ausführungsformen bereit. Bei einem Beispiel umfasst eine integrierte Schaltung ein Halbleitersubstrat und einen über dem Halbleitersubstrat angeordneten Gatestapel. Der Gatestapel umfasst eine Gatedielektrikumschicht, die über dem Halbleitersubstrat angeordnet ist, eine Multifunktionssperrschicht/-benetzungsschicht, die über der Gatedielektrikumschicht angeordnet ist, eine Arbeitsfunktionsschicht, die über der Multifunktionssperrschicht/-benetzungsschicht angeordnet ist, und eine leitende Schicht, die über der Arbeitsfunktionsschicht angeordnet ist. Die Multifunktionssperrschicht/-benetzungsschicht umfasst Titanaluminiumcarbonitrid (TiAlCN).
  • Bei einem weiteren Beispiel umfasst eine integrierte Schaltung einen Gatestapel, der über einem Halbleitersubstrat angeordnet ist. Der Gatestapel umfasst eine High-k-Dielektrikumschicht, die über dem Halbleitersubstrat angeordnet ist, eine erste Titanaluminiumcarbonitrid-(TiAlCN)-Schicht, die direkt auf der High-k-Dielektrikumschicht angeordnet ist, eine zweite TiAlCN-Schicht, die direkt auf der ersten TiAlCN-Schicht angeordnet ist, und eine Aluminiumschicht, die direkt auf der zweiten TiAlCN-Schicht angeordnet ist.
  • Bei noch einem weiteren Beispiel umfasst ein Verfahren das Bilden einer Gatestruktur über einem Halbleitersubstrat, das Entfernen des Dummy-Gates von der Gatestruktur und dadurch das Bilden einer Öffnung und das Bilden einer Multifunktionssperrschicht/-benetzungsschicht über der High-k-Dielektrikumschicht, eine Arbeitsfunktionsschicht über der Multifunktionssperrschicht/-benetzungsschicht und eine leitende Schicht über der Arbeitsschicht. Die Multifunktionssperrschicht/-benetzungsschicht, die Arbeitsfunktionsschicht und die leitende Schicht füllen die Öffnung. Die Multifunktionssperrschicht/-benetzungsschicht umfasst Titanaluminiumcarbonitrid (TiAlCN).
  • Das vorhergehende beschreibt Merkmale von mehreren Ausführungsformen, sodass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Dem Fachmann sollte offensichtlich sein, dass er ohne Weiteres die vorliegende Offenbarung als eine Basis verwenden kann, um andere Prozesse und Strukturen zu konzipieren oder zu modifizieren, um die gleichen Zwecke auszuführen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu erreichen. Der Fachmann sollte realisieren, dass solche äquivalente Aufbauten nicht vom Sinn und Umfang der vorliegenden Offenbarung abweichen, und, dass er verschiede Änderungen, Ersetzungen und Modifikationen hierin vornehmen kann, ohne vom Sinn und Umfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Integrierte Schaltung, umfassend: ein Halbleitersubstrat; und einen Gatestapel, der über dem Halbleitersubstrat angeordnet ist, wobei der Gatestapel umfasst: eine Gatedielektrikumschicht, die über dem Halbleitersubstrat angeordnet ist; eine Multifunktionssperrschicht/-benetzungsschicht, die über der Gatedielektrikumschicht angeordnet ist, wobei die Multifunktionssperrschicht/-benetzungsschicht Titanaluminiumcarbonitrid (TiAlCN) umfasst; eine Arbeitsfunktionsschicht, die über der Multifunktionssperrschicht/-benetzungsschicht angeordnet ist; und eine leitende Schicht, die über der Arbeitsfunktionsschicht angeordnet ist.
  2. Integrierte Schaltung nach Anspruch 1, wobei die Gatedielektrikumschicht eine High-k-Dielektrikumschicht umfasst.
  3. Integrierte Schaltung nach Anspruch 2, wobei die Gatedielektrikumschicht eine Zwischendielektrikumschicht umfasst, die zwischen der High-k-Dielektrikumschicht und dem Halbleitersubstrat angeordnet ist.
  4. Integrierte Schaltung nach Anspruch 1, wobei die Multifunktionssperrschicht/-benetzungsschicht eine Stickstoffatomkonzentration und Kohlenstoffatomkonzentration aufweist, die verhindern, dass Metallverunreinigungen in die Gatedielektrikumschicht eindringen.
  5. Integrierte Schaltung nach Anspruch 4, wobei die Stickstoffatomkonzentration ungefähr 5% bis zu ungefähr 15% ist und die Kohlenstoffatomkonzentration ungefähr 5% bis zu ungefähr 20% ist.
  6. Integrierte Schaltung nach Anspruch 1, wobei die Multifunktionssperrschicht/-benetzungsschicht mehrere TiAlCN-Schichten mit unterschiedlichen Stickstoffatomkonzentrationen umfasst.
  7. Integrierte Schaltung nach Anspruch 6, wobei die Multifunktionssperrschicht/-benetzungsschicht eine untere TiAlCN-Schicht und eine obere TiAlCN-Schicht umfasst, wobei die untere TiAlCN-Schicht eine höhere Stickstoffatomkonzentration aufweist als die obere TiAlCN-Schicht.
  8. Integrierte Schaltung nach Anspruch 1, wobei die Arbeitsfunktionsschicht TiAlCN mit einer Stickstoffatomkonzentration von ungefähr 2% bis zu ungefähr 5% umfasst.
  9. Integrierte Schaltung nach Anspruch 1, wobei die Multifunktionssperrschicht/-benetzungsschicht ein Ti:Al-Verhältnis von ungefähr 1:1 bis zu ungefähr 1:3 aufweist.
  10. Integrierte Schaltung, umfassend einen Gatestapel, der über einem Halbleitersubstrat angeordnet ist, wobei der Gatestapel umfasst: eine High-k-Dielektrikumschicht, die über dem Halbleitersubstrat angeordnet ist; eine erste Titanaluminiumcarbonitrid-(TiAlCN)-Schicht, die direkt auf der High-k-Dielektrikumschicht angeordnet ist; eine zweite TiAlCN-Schicht, die direkt auf der ersten TiAlCN-Schicht angeordnet ist; und eine Aluminiumschicht, die direkt auf der zweiten TiAlCN-Schicht angeordnet ist.
  11. Integrierte Schaltung nach Anspruch 10, wobei die erste TiAlCN-Schicht eine Stickstoffatomkonzentration von ungefähr 5% bis zu ungefähr 15% und eine Kohlenstoffatomkonzentration von ungefähr 5% bis zu ungefähr 20% und ein Ti:Al-Verhältnis von ungefähr 1:1 bis zu ungefähr 1:3 aufweist.
  12. Integrierte Schaltung nach Anspruch 10, wobei die zweite TiAlCN-Schicht eine Stickstoffatomkonzentration von ungefähr 2% bis zu ungefähr 5% aufweist.
  13. Integrierte Schaltung nach Anspruch 10, wobei der Gatestapel zwischen einem Sourcemerkmal und einem Drainmerkmal liegt, das im Halbleitersubstrat angeordnet ist.
  14. Integrierte Schaltung nach Anspruch 10, wobei der Gatestapel weiter eine Zwischendielektrikumschicht umfasst, die zwischen der High-k-Dielektrikumschicht und dem Halbleitersubstrat angeordnet ist.
  15. Verfahren, umfassend: Bilden einer Gatestruktur über einem Halbleitersubstrat, wobei die Gatestruktur einen Gatestapel aufweist, der eine High-k-Dielektrikumschicht umfasst, die über dem Halbleitersubstrat angeordnet ist, und ein Dummy-Gate, das über der High-k-Dielektrikumschicht angeordnet ist; Entfernen des Dummy-Gates von der Gatestruktur, wodurch eine Öffnung gebildet wird; und Bilden einer Multifunktionssperrschicht/-benetzungsschicht über der High-k-Dielektrikumschicht, einer Arbeitsfunktionsschicht über der Multifunktionssperrschicht/-benetzungsschicht und einer leitenden Schicht über der Arbeitsschicht, wobei die Multifunktionssperrschicht/-benetzungsschicht, die Arbeitsfunktionsschicht und die leitende Schicht die Öffnung füllen, und wobei weiter die Multifunktionssperrschicht/-benetzungsschicht Titanaluminiumcarbonitrid (TiAlCN) umfasst.
  16. Verfahren nach Anspruch 15, wobei das Bilden der Multifunktionssperrschicht/-benetzungsschicht das Ausführen eines PVD-Prozesses umfasst.
  17. Verfahren nach Anspruch 16, wobei das Ausführen des PVD-Prozesses das Anpassen des PVD-Prozesses umfasst, sodass die Multifunktionssperrschicht/-benetzungsschicht-Schicht eine Stickstoffatomkonzentration von ungefähr 5% bis zu ungefähr 15% und eine Kohlenstoffatomkonzentration von ungefähr 5% bis zu ungefähr 20% aufweist.
  18. Verfahren nach Anspruch 16, wobei das Ausführen des PVD-Prozesses das Anpassen des PVD-Prozesses umfasst, sodass die Multifunktionssperrschicht/-benetzungsschicht-Schicht ein Ti:Al-Verhältnis von ungefähr 1:1 bis zu ungefähr 1:3 aufweist.
  19. Verfahren nach Anspruch 15, wobei die Arbeitsfunktionsschicht TiAlCN umfasst.
  20. Verfahren nach Anspruch 19, wobei das TiAlCN in der Arbeitsfunktionsschicht eine Stickstoffatomkonzentration von ungefähr 2% bis zu ungefähr 5% aufweist.
DE102014119644.9A 2014-07-10 2014-12-27 Metalgatestapel mit TiAICN als Arbeitsfunktionsschicht und/oder Sperr/Benetzungsschicht Granted DE102014119644A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/328,299 US9337303B2 (en) 2011-09-24 2014-07-10 Metal gate stack having TiAICN as work function layer and/or blocking/wetting layer
US14/328,299 2014-07-10

Publications (1)

Publication Number Publication Date
DE102014119644A1 true DE102014119644A1 (de) 2016-01-14

Family

ID=54866822

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102014119644.9A Granted DE102014119644A1 (de) 2014-07-10 2014-12-27 Metalgatestapel mit TiAICN als Arbeitsfunktionsschicht und/oder Sperr/Benetzungsschicht

Country Status (4)

Country Link
KR (1) KR101700496B1 (de)
CN (1) CN105261637B (de)
DE (1) DE102014119644A1 (de)
TW (1) TWI546973B (de)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106158649B (zh) * 2015-04-14 2020-09-08 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US10141528B1 (en) * 2017-05-23 2018-11-27 International Business Machines Corporation Enhancing drive current and increasing device yield in n-type carbon nanotube field effect transistors
US10903109B2 (en) * 2017-12-29 2021-01-26 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features
US10797151B2 (en) * 2018-09-27 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structures for field effect transistors

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5521423A (en) * 1993-04-19 1996-05-28 Kawasaki Steel Corporation Dielectric structure for anti-fuse programming element
US7871915B2 (en) * 2008-09-26 2011-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming metal gates in a gate last process
CN102237399B (zh) * 2010-04-22 2015-01-07 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
US20130075831A1 (en) * 2011-09-24 2013-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate stack having tialn blocking/wetting layer
US8778789B2 (en) * 2012-11-30 2014-07-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits having low resistance metal gate structures

Also Published As

Publication number Publication date
CN105261637B (zh) 2018-06-22
KR20160007339A (ko) 2016-01-20
KR101700496B1 (ko) 2017-01-26
TWI546973B (zh) 2016-08-21
TW201603280A (zh) 2016-01-16
CN105261637A (zh) 2016-01-20

Similar Documents

Publication Publication Date Title
DE102016114705B4 (de) Ätzstoppschicht für Halbleiter-Bauelemente
DE102013110023B4 (de) Herstellung einer Nanodraht-Halbleitervorrichtung
DE102018100050B4 (de) Verfahren zur Herstellung einer integrierten Schaltung mit Seitenwandabstandhaltern für Gate-Stapel
DE102020111602B4 (de) Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür
DE102019126565B4 (de) Mehrfachgatevorrichtung und zugehörige verfahren
DE102017120565B4 (de) Durchkontaktierungen für zwischenverbindungen auf cobaltbasis und verfahren zu deren herstellung
DE102017117942A1 (de) Multi-Gate-Vorrichtung und Herstellungsverfahren dafür
DE102015106608A1 (de) FinFET-Wärmeschutzverfahren und verwandte Strukturen
DE102014119648B4 (de) METALL-GATE-STAPEL MIT TaAlCN-SCHICHT
DE102015112267A1 (de) Verfahren und struktur für finfet
DE102020115430A1 (de) P-metall-gate-first-gate-ersetzungsprozess für mehrfachgate-vorrichtungen
DE102021100877B4 (de) Mittellinien-Verbindungsstruktur mit Luftspalt und Verfahren zu deren Herstellung
DE102012204455A1 (de) (110)-Oberflächenorientierung zum Reduzieren eines Fermi-Level-Pinnings zwischen einem HIGH-K Dielektrikum und einer Gruppe III-V Verbindungshalbleitervorrichtung
DE102021108885A1 (de) Bilden von esd-bauelementen unter verwendung von multigatekompatiblen prozessen
DE102019127997A1 (de) Verfahren zum herstellen von halbleitervorrichtungen und halbleitervorrichtungen
DE102021107624A1 (de) Gate-isolation für mehr-gate-vorrichtung
DE102017123948B4 (de) Umschlossene epitaxiale struktur und verfahren
DE102014119644A1 (de) Metalgatestapel mit TiAICN als Arbeitsfunktionsschicht und/oder Sperr/Benetzungsschicht
DE102017117865A1 (de) Verbindungsstruktur und zugehörige Verfahren
DE102019128758A1 (de) Verfahren zur herstellung von halbleitervorrichtungen undhalbleitervorrichtungen
DE102021103217B4 (de) Ätzprofilsteuerung der Durchkontaktierungsöffnung und entsprechende Halbleitervorrichtung
DE102019113425A1 (de) Finfet-aufbau und verfahren mit reduzierter finnenknickung
DE102013105974B4 (de) Verfahren zum Herstellen einer Halbleitereinrichtung mit einem Dielektrikum mit Mehrfachzusammensetzung
DE102023102448A1 (de) Dielektrische schichten mit stickstoffhaltigen verkrusteten oberflächen
DE102018101016B4 (de) Verfahren zum Schneiden von Metall-Gates und daraus gebildete Strukturen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division