CN1959940A - 部分半导体组件的形成方法 - Google Patents

部分半导体组件的形成方法 Download PDF

Info

Publication number
CN1959940A
CN1959940A CNA2006100995053A CN200610099505A CN1959940A CN 1959940 A CN1959940 A CN 1959940A CN A2006100995053 A CNA2006100995053 A CN A2006100995053A CN 200610099505 A CN200610099505 A CN 200610099505A CN 1959940 A CN1959940 A CN 1959940A
Authority
CN
China
Prior art keywords
layer
light sensation
etch stop
substrate
formation method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006100995053A
Other languages
English (en)
Other versions
CN1959940B (zh
Inventor
林进祥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN1959940A publication Critical patent/CN1959940A/zh
Application granted granted Critical
Publication of CN1959940B publication Critical patent/CN1959940B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Light Receiving Elements (AREA)

Abstract

本发明是有关于一种部分半导体组件的形成方法,在一范例里,一形成部分半导体组件的方法包括,形成一光感层于一基底上,显影光感层以暴露出基底的一部份,并由显影后所剩余的光感层的至少一部份产生一种子层,在种子层上形成一蚀刻中止层,以及使用蚀刻中止层作为一屏蔽蚀刻基底。

Description

部分半导体组件的形成方法
技术领域
本发明涉及一种部分半导体组件的形成方法,特别是涉及一种使用光微影制程的部分半导体组件的形成方法。
背景技术
聚焦深度(Depth of focus)的裕度是为半导体组件制程中的一要素。通常来说,一有效聚焦深度可完全适用于光阻厚度的变化,例如芯片局部高度差异(local substrate topology step height)以及晶圆中心与边缘的高度差异。使用一有效聚焦深度可使得半导体组件制造的规格能更为容易地落在关键尺寸之内,且半导体组件没有或是极少有浮渣或表面损失缺陷(toploss defect)。
在光阻厚于聚焦深度的情况下可能会发生问题。举例来说,如果聚焦深度是小于光阻层和阶跃高度变化的总合厚度,则浮渣或关键尺寸的错误可能会发生在一些形成在半导体组件上的图案上。因此,需要薄层的光阻层以解决这问题。上述的薄光阻层也可适用于低剂量的曝光工具(例如以电子束或极紫外光工具),因为它们可能改善阻剂的对比,分解度,以及溶解度。此外,为了大量制造的目的,薄光阻层与低剂量的曝光工具的结合可因此而提高半导体组件的生产率。
然而,使用薄光阻层具有其缺点。举例来说,在蚀刻过程中,如果没有对薄光阻层提供足够地保护,则薄光阻层可能会对蚀刻效果有负面的影响。要解决这问题,可使用两步骤的制程。举例来说,一相对地薄光感层可在一厚缓冲层上形成。显影薄光感层以形成一预先定义的图案,然后,蚀刻缓冲层以在缓冲层上形成对应于光感层上所形成的图案。接着,在蚀刻基底期间,缓冲层被用以作为一蚀刻中止层。因此,在蚀刻基底前,需要两个移除的步骤(显影以及蚀刻)以得到基底。
由此可见,上述现有的半导体组件的形成方法在制造方法与使用上,显然仍存在有不便与缺陷,而亟待加以进一步改进。为了解决部分半导体组件的形成方法存在的问题,相关厂商莫不费尽心思来谋求解决之道,但长久以来一直未见适用的设计被发展完成,而一般制造方法又没有适切的制造方法能够解决上述问题,此显然是相关业者急欲解决的问题。因此如何能创设一种新的半导体组件的形成方法,便成了当前业界极需改进的目标。
有鉴于上述现有的半导体组件的形成方法存在的缺陷,本发明人基于从事此类产品设计制造多年丰富的实务经验及专业知识,并配合学理的运用,积极加以研究创新,以期创设一种新的部分半导体组件的形成方法,能够改进一般现有的半导体组件的形成方法,使其更具有实用性。经过不断的研究、设计,并经反复试作及改进后,终于创设出确具实用价值的本发明。
发明内容
本发明的主要目的在于,克服现有的半导体组件的形成方法存在的缺陷,而提供一种新的部分半导体组件的形成方法,所要解决的技术问题是使其得以制造在关键尺寸内较无缺陷的半导体组件,从而更加适于实用。
本发明的目的及解决其技术问题是采用以下技术方案来实现的。依据本发明提出的一种部分半导体组件的形成方法,其包括以下步骤:提供一光感层于一基底上;使用一预先定义的图案图案化该光感层;显影该光感层以形成一种子层,其中该种子层对应于该预先定义的图案;产生实质上垂直于该种子层的一复数个长链分子,以形成一蚀刻中止层于该种子层上。
本发明的目的及解决其技术问题还可采用以下技术措施进一步实现。
前述的部分半导体组件的形成方法,其更包括使用该蚀刻中止层作为一蚀刻屏蔽层蚀刻该基底。
前述的部分半导体组件的形成方法,其更包括使用该蚀刻中止层作为一离子植入层注入该基底。
前述的部分半导体组件的形成方法,其中所述的显影该光感层以形成一种子层更包括显影该光感层以暴露出该基底的一部份,并由显影后剩余的该光感层的至少一部份产生一种子层。
前述的部分半导体组件的形成方法,其中所述的蚀刻中止层较该种子层厚或硬。
前述的部分半导体组件的形成方法,其中所述的形成该蚀刻中止层包括使用该种子层作为电极电镀以成长该蚀刻中止层。
前述的部分半导体组件的形成方法,其中所述的形成该蚀刻中止层包括浸泡该种子层于一溶液里。
前述的部分半导体组件的形成方法,其中所述的形成一蚀刻中止层包括长链分子,至少一长链聚合物,至少一纳米碳管,至少一氧化锌纳米管,对准长链分子或至少一对准长链聚合物的形成。
前述的部分半导体组件的形成方法,其中所述的显影该光感层以形成一种子层更包括显影该光感层以暴露出该基底的一部份,其中该基底的该暴露部分形成一种子层。
前述的部分半导体组件的形成方法,其更包括形成该蚀刻中止层后移除该光感层的一剩余部分。
前述的部分半导体组件的形成方法,其更包括形成该蚀刻中止层前移除该光感层的一剩余部分。
前述的部分半导体组件的形成方法,其中所述的形成该光感层于该基底上包括选择一负光阻剂用于作为该光感层。
前述的部分半导体组件的形成方法,其中所述的形成该光感层于该基底上包括选择一正光阻剂用于作为该光感层。
本发明的目的及解决其技术问题还采用以下技术方案来实现。依据本发明提出的一种部分半导体组件的形成方法,其包括以下步骤:形成一光感层于一基底上;显影该光感层以暴露出该基底的一部份,其中该基底的该暴露部分形成一种子层;形成一蚀刻中止层于该种子层之上;以及使用该蚀刻中止层作为一屏蔽以蚀刻该基底。
本发明与现有技术相比具有明显的优点和有益效果。由以上技术方案可知,本发明的主要技术内容如下:
为了达到上述目的,本发明提供了一种部分半导体组件的形成方法,首先,形成一光感层(在此实施例是用正光阻剂材料)于基底上。接着,在光感层上形成所需的图案并显影光感层以产生一种子层,然后将种子层浸泡于一pH值约不大于7或者约大于7的溶液里,或者使用长链分子或长链聚合物(举例来说,一或多个纳米碳管,一或多个氧化锌纳米管,一或多个对准长链分子,一或多个对准长链聚合物),或者使用种子层作为电极电镀等方法以成长一蚀刻中止层。此蚀刻中止层是厚于以及硬于种子层并用以作为一屏蔽以蚀刻基底。
另外,为了达到上述目的,本发明另提供了一种部分半导体组件的形成方法,首先,形成一光感层(在此实施例是用负光阻剂材料)于基底上。接着,形成所需的图案(此为将被移除的区域)于光感层上并显影此光感层,这个显影过程会移除光感层上标示的图案并暴露出基底,然后在暴露部分上形成一种子层或是以暴露出的基底作为一种子层(以排除需要一种子层的形成)。将此种子层作为一电极电镀以成长一蚀刻中止层,此蚀刻中止层仅在种子层上形成且厚于以及硬于种子层。然后,使用蚀刻中止层作为蚀刻基底的屏蔽。
再者,为了达到上述目的,本发明再提供了一种部分半导体组件的形成方法,首先,提供一光感层于基底。然后,使用一预先定义的图案图案化此光感层。接着,显影光感层以产生一种子层(对应于预先定义的图案),并且产生实质上垂直于种子层的一复数个长链分子,以形成一蚀刻中止层于种子层上。
经由上述可知,本发明是有关于一种部分半导体组件的形成方法,在一范例里,一形成部分半导体组件的方法包括,形成一光感层于一基底上,显影光感层以暴露出基底的一部份,并由显影后所剩余的光感层的至少一部份产生一种子层,在种子层上形成一蚀刻中止层,以及使用蚀刻中止层作为一屏蔽蚀刻基底。
借由上述技术方案,本发明部分半导体组件的形成方法至少具有下列优点:本发明是为一种使用光微影制程以形成一部分半导体组件的方法,可借由使用一光感层以及一蚀刻中止层的方法,以减少在蚀刻制程中,由于使用薄光阻层所带来的负面影响以及严格的限制(举例来说,聚焦深度要厚于光阻层和晶圆表面高度变化的总合厚度,或者对薄光阻层提供足够地保护)以制造在关键尺寸内无或极少有浮渣或表面损失缺陷的半导体组件。
综上所述,本发明新颖的部分半导体组件的形成方法,可以制造在关键尺寸内较无缺陷的半导体组件。本发明具有上述诸多优点及实用价值,其不论在制造方法或功能上皆有较大的改进,在技术上有显着的进步,并产生了好用及实用的效果,且较现有的半导体组件的形成方法具有增进的功效,从而更加适于实用,并具有产业的广泛利用价值,诚为一新颖、进步、实用的新设计。
上述说明仅是本发明技术方案的概述,为了能够更清楚了解本发明的技术手段,而可依照说明书的内容予以实施,并且为了让本发明的上述和其它目的、特征和优点能够更明显易懂,以下特举较佳实施例,并配合附图,详细说明如下。
附图说明
为让读者能让更了解本发明的观点,当研读下列各段落时请参照所伴随的图示。这里要强调按照业界的标准惯例,好几个特征在微影技术中是不依照实际的比例来绘制。实际上,为了能更清楚的解释此项发明,好几个特征的尺寸可任意地增大或缩小。
图1是半导体制造过程中执行本发明的一实施例的一方法。
图2是在制造过程中,利用图1所述的方法,使用一具有光感层覆盖于其它层上的局部半导体组件的一实施例的一透视图。
图3是图2的局部半导体组件的一透视图,此透视图是绘示在光感层上形成一图案。
图4是图3的局部半导体组件的一透视图,此透视图是绘示显影后的光感层以预先定义的图案为基础。
图5是图4的局部半导体组件的一透视图,此透视图是绘示形成一第二层于显影过的光感层上。
图6是图5的局部半导体组件的一透视图,此透视图是绘示使用第二层作为一屏蔽以蚀刻显影过的光感层下的底层。
图7是图6的局部半导体组件的一透视图,此图是绘示移除第二层以及光感层。
图8是在半导体制造过程中执行本发明的另一实施例的一方法。
图9是在制造过程中,利用图8所述的方法,使用一具有光感层覆盖于其它层上的局部半导体组件的一实施例的一透视图。
图10是图9的局部半导体组件的一透视图,此图是绘示显影后的光感层以预先定义的图案为基础。
图11是图10的局部半导体组件的一透视图,此透视图是绘示形成一第二层于一图案化的种子层上。
图12是移除图11的局部半导体组件的光感层的剩余部分的一透视图。
图13是图12的局部半导体组件的一透视图,此透视图是绘示使用第二层作为一屏蔽以蚀刻显影过的光感层下的底层。
图14是移除图13的局部半导体组件的第二层后的一透视图。
图15是图9的局部半导体组件的另一实施例的一透视图。
100,800:方法
102,104,106,108,110,802,804,806,808,810,812:步骤
200,900:半导体组件
500,1102:蚀刻中止层
206,906:光感层
400,1100:种子层
300,908:图案
204,904:底层
1000:空缺
202,902,1500:层
具体实施方式
为更进一步阐述本发明为达成预定发明目的所采取的技术手段及功效,以下结合附图及较佳实施例,对依据本发明提出的部分半导体组件的形成方法其具体实施方式、方法(制造方法、加工方法)、步骤、特征及其功效,详细说明如后。
接下来所要揭露的发明会提供许多不同的实施例,或者范例以阐明本发明中不同的特征。下述的构成成分和安排的特别范例将让本发明容易了解。当然,这些实施例并非用以限定本发明。另外,本发明在各个实施例里面会重复一些参考数字或者名词,这是为了简化并清楚的了解本发明,并非特别指明各实施例或各结构讨论之间有任何连接关系。此外,在描述中第一特征覆盖或在第二特征之上,其可包括实施例里第一特征以及第二特征以直接接触而形成,以及也可包括实施例里增加的特征并使用第一以及第二特征而形成。上述的第一以及第二特征可能没有直接接触。
请参阅图1所示,其是利用方法100的一实施例,使用方法100可获致相对薄光感层的优点而同时能减少一般使用一光感层以及一缓冲/蚀刻中止层所需要的显影/蚀刻的数量。请参照方法100的描述并结合图2至图7,图2至图7是使用方法100以制造一半导体组件200的一实施例。这里要了解的是半导体组件200仅是一组件的范例,其可使用方法100,或者经由在此描述的步骤加上其它步骤(例如浸润)而制造。
请参照步骤102以及参阅图2所示,形成一光感层206(例如光阻层)于一底层204上。层204是位于另一层202之上。层204可为一或多个绝缘体、导体、或是半导体层。举例来说,层204可为导体层,以及层202可以具有介层窗(未绘示)的一绝缘体层;此介层窗连接层204到层202底下的传导性的材料(未绘示)。在另一实施例里,层204可为一绝缘体层,以及层202可以为一导体层。还有另一实施例里,层202可以是不存在的,以及层204可以包括一基本的半导体材料(例如晶体硅(crystalsilicon)、多晶硅(polycrystalline silicon)、非晶硅(amorphoussilicon)、或者锗(germanium));一复合半导体(例如硅碳化物(siliconcarbide)或者砷化镓(gallium arsenic));或一半导体合金(例如锗化硅(SiGe)、磷砷化镓(GaAsP)、砷化铝铟(AlInAs)、砷化铝镓(AlGaAs)或者磷化镓铟(GaInP))。另外,层204可包括一块状半导体(bulksemiconductor),例如块状硅(bulk silicon),以及此一块状半导体或许包括一磊晶硅层(epi silicon layer)。层204也可包括一绝缘体上有半导体的基底(例如一绝缘体上有硅(silicon-on-insulator)的基底),或者一薄膜电晶体(thin-film transistor)的基底。层204也可包括一复式硅结构(multiple silicon structure)或一多重层复合半导体结构(multiplayer compound semiconductor structure)。
光感层206的形成包括一光阻剂材料(例如一正光阻剂或一负光阻剂);一聚合物层;或是其它任何合适的材料的沉积(deposition)。在本范例里,光感层206是用正光阻剂材料而形成并具有一100至2000埃的厚度。光阻剂材料可以旋涂的步骤或者其它的步骤散布及沉积于层204的表面。在一范例里,光感层206是使用酸性催化的化学强化光阻剂(chemicallyamplified resist)。
请参照步骤104以及参阅图3及图4所示,一图案300形成于光感层206(图3)上,并接着显影(图4)光感层206。图形可包括线条、间隔、洞(例如,介层窗)、岛、或是任何其它图案。图案化后,光感层206可经一显影制程以形成一光阻影像作为一种子层400。在这实施例里,光阻剂是选择对光敏感材料有所反应以及提供一用于后续制程中的一键结构以由光阻剂影像上成长出较厚的缓冲层。在本范例里,种子层400的厚度是大约100至2000埃。
请参照步骤106以及参阅图5所示,层500可于种子层400上形成。要了解的是在这个实施例里,层500仅形成于种子层400上而不会在层204的暴露出的表面上形成。层500可使用多样的方法而形成,其形成后可厚于或者硬于种子层400。以下将会描述,在后半段的蚀刻制程,层500可被用以作为一蚀刻中止层。因此,用以形成蚀刻中止层500的材料可取决于被蚀刻的底层(例如层204)的构成成分以及蚀刻底层所采用的制程。举例来说,如果层204是一金属层并使用一湿蚀刻的蚀刻制程,则会选择一特殊的构成成分以形成蚀刻中止层500。以及,如果层204是为一氧化物层且使用一干蚀刻的蚀刻制程,则会选择另一不同的构成成分。
在一范例里,可暴露种子层400于一pH值小于7的溶液里以形成层500。在另一范例里,可在一pH值大于7的溶液里。在另一范例里,可使用一制程(例如CVD)以成长第二层500在一等离子体的环境中。在另一范例里,可利用种子层400使用雷射脉冲汽化(laser pulse vaporization)的方式以有选择性的沉积层500。
请参阅图5所示,其是在Z方向(垂直于种子层表面)使用长链分子或长链聚合物以形成层500。举例来说,长链分子或聚合物可包括一或多个纳米碳管、一或多个氧化锌纳米管、对准长链分子、一或多个对准长链聚合物、或者其它合适的材料。这里要考虑是第二层202的厚度大概在大约200至大约600纳米之间。在另一范例里,可使用无电极电镀以成长蚀刻中止层。或者,可将金属分子混合于光阻剂里以提供用于电极电镀的金属基底。
请参照步骤108以及参阅图6所示,使用层500作为一蚀刻中止层以蚀刻层204。蚀刻制程可使用一或多个蚀刻步骤,其是包括干蚀刻、湿蚀刻、或者其它蚀刻方法。虽然在图6中绘示层204已被完全地蚀刻,这里要了解的是当层204已被移除掉一所需要的量,蚀刻可已停止,蚀刻制程可以不需要移除所有的暴露出的层204。
请参照步骤110以及参阅图7所示,使用湿化学蚀刻或干蚀刻的制程,则可移除种子层400以及蚀刻中止层500。
因此,使用方法100,使用单一显影或者蚀刻步骤则可形成一蚀刻中止层。这里要了解的是可执行一些额外的步骤以完成半导体组件200。既然那些额外的步骤是现有技术,而且其步骤会依所欲形成半导体组件200的特性而定,在这里将不会作进一步的描述。此外,这里要注意的是以上范例的各种变化是被考虑的。在一范例里,第二层204可用于离子植入的目的以代替先前用于蚀刻的目的。第二范例里,第二层204可为在种子层400上形成的一分隔层。在第三范例里,第二层204可包括种子层400。据此,本揭露是已考虑各种不同的变化。
请参阅图8所示,其是利用方法800的另一实施例,使用方法800可获致相对薄光层的优点而同时能减少一般使用一光感层以及一缓冲/蚀刻中止层所需要的显影/蚀刻的数量。请参照方法800的描述并结合图9至图14是使用方法800以制造一半导体组件900的一实施例。这里要了解的是半导体组件900仅是一组件的范例,其可使用方法800,或者经由在此描述的步骤加上其它步骤(例如浸润)而制造。
请参照步骤802以及参阅图9所示,形成一光感层906(例如光阻层)于一底层904上。层904可为一或多个绝缘体、导体、或是半导体层。举例来说,层904可为导体层,以及层902可以具有介层窗(未绘示)的一绝缘体层;此介层窗连接层904到层902底下的传导性的材料(未绘示)。在另一实施例里,层904可为一绝缘体层,以及层902可以为一导体层。还有另一实施例里,层902可以是不存在的,以及层904可以包括一基本的半导体材料(例如晶体硅(crystal silicon)、多晶硅(polycrystallinesilicon)、非晶硅(amorphous silicon)、或者锗(germanium));一复合半导体(例如硅碳化物(silicon carbide)或者砷化镓(gallium arsenic));或一半导体合金(例如锗化硅(SiGe)、磷砷化镓(GaAsP)、砷化铝铟(AlInAs)、砷化铝镓(AlGaAs)或者磷化镓铟(GaInP))。另外,层904可包括一块状半导体(bulk semiconductor),例如块状硅(bulk silicon),以及此一块状半导体可包括一磊晶硅层(epi silicon layer)。层204也可包括一绝缘体上有半导体的基底(例如一绝缘体上有硅(silicon-on-insulator)的基底),或者一薄膜电晶体(thin-filmtransistor)的基底。层904也可包括一复式硅结构(multiple siliconstructure)或一多重层复合半导体结构(multiplayer compoundsemiconductor structure)。
光感层906的形成包括一光阻剂材料(例如一正光阻剂或一负光阻剂);一聚合物层;或是其它任何合适的材料的沉积。在本范例里,光感层906是用负光阻剂材料而形成并具有一100至2000埃的厚度。光阻剂材料可以旋涂的步骤或者其它的步骤散布及沈积于层904的表面。
请参照步骤804以及参阅图9至图11所示,一图案908形成于光感层906(图9)上并接着显影(图10)光感层906。图形可包括线条、间隔、洞(例如,介层窗)、岛、或是任何其它图案。因为光感层906是使用负光阻剂而形成,所以,在显影后图案908是为移除的区域。一旦移除此区域后,空缺1000暴露出底金属层904的金属。图案化和显影化后,层904可经一沉积或浸泡的制程,有选择性的在层904(图11)的暴露部分上形成一种子层1100。这里要了解的是在一些实施例里,层904本身可用以作为一种子层,以排除需要一种子层的形成。举例来说,使用现有的电极电镀的制程,可将层904用以作为电极电镀以形成蚀刻中止层1102。
请参照步骤806以及参阅图11所示,一层1102可在种子层1100上形成。要了解的的是在此实施例里,层1102仅形成于种子层1100而不会在层906的暴露出的表面上形成。层1102可使用多样的方法而形成,其形成后可厚于或者硬于种子层1100。以下将会描述,在后半段的蚀刻制程,层1102可被用以作为一蚀刻中止层。因此,用以形成蚀刻中止层1102的材料可取决于被蚀刻的底层的构成成分以及蚀刻底层所采用的制程。就像先前所描述的制程,层1102可使用一或多样的制程而形成。
请参照步骤808以及参阅图12所示,可移除光阻层906以及底层904。这里要了解的是在一些实施例里,在形成蚀刻中止层1102前,可移除光阻层906。
请参照步骤810以及参阅图13所示,使用层1102作为一蚀刻中止层以蚀刻层904。蚀刻制程可使用一或多个蚀刻步骤,其是包括干蚀刻、湿蚀刻、或者其它蚀刻方法。虽然在图13中绘示层904已被完全地蚀刻,这里要了解的是当层904已被移除掉一所需要的量,蚀刻可已停止,蚀刻制程可以不需要移除所有的暴露出的层904。而且,要了解的是在一些实施例里,可使用单一的蚀刻制程以移除光阻层906以及层904。请参照步骤812以及阅图14所示,用于额外的制程步骤时,可移除种子层1100以及蚀刻中止层1102以暴露出层904的剩余部分。此类的移除步骤会发生于使用化学湿蚀刻或者干蚀刻灰(dry etch ashing)。
请参阅图15所示,在另一实施例里,图9中的光阻层906以及层904之间可包括一附加层1500。在一些范例里,层1500可作为一种子层,以及经由显影光阻层906以暴露出层1500的一部分可提供先前描述的形成种子层的步骤。在一范例里,使用现有的电极电镀的制程,可将层1500作为电极电镀以形成蚀刻中止层1102。一旦形成蚀刻中止层1102后,如同先前所描述的步骤,可移除剩余的负光阻层并蚀刻底金属层与绝缘体层。
以上所述,仅是本发明的较佳实施例而已,并非对本发明作任何形式上的限制,虽然本发明已以较佳实施例揭露如上,然而并非用以限定本发明,任何熟悉本专业的技术人员,在不脱离本发明技术方案范围内,当可利用上述揭示的技术内容作出些许更动或修饰为等同变化的等效实施例,但凡是未脱离本发明技术方案内容,依据本发明的技术实质对以上实施例所作的任何简单修改、等同变化与修饰,均仍属于本发明技术方案的范围内。

Claims (14)

1、一种部分半导体组件的形成方法,其特征在于其包括以下步骤:
提供一光感层于一基底上;
使用一预先定义的图案图案化该光感层;
显影该光感层以形成一种子层,其中该种子层对应于该预先定义的图案;
产生实质上垂直于该种子层的一复数个长链分子,以形成一蚀刻中止层于该种子层上。
2、根据权利要求1所述的部分半导体组件的形成方法,其特征在于其更包括使用该蚀刻中止层作为一蚀刻屏蔽层蚀刻该基底。
3、根据权利要求1所述的部分半导体组件的形成方法,其特征在于其更包括使用该蚀刻中止层作为一离子植入层注入该基底。
4、根据权利要求2或3所述的部分半导体组件的形成方法,其特征在于其中所述的显影该光感层以形成一种子层更包括显影该光感层以暴露出该基底的一部份,并由显影后剩余的该光感层的至少一部份产生一种子层。
5、根据权利要求4所述的部分半导体组件的形成方法,其特征在于其中所述的蚀刻中止层较该种子层厚或硬。
6、根据权利要求4所述的部分半导体组件的形成方法,其特征在于其中所述的形成该蚀刻中止层包括使用该种子层作为电极电镀以成长该蚀刻中止层。
7、根据权利要求4所述的部分半导体组件的形成方法,其特征在于其中所述的形成该蚀刻中止层包括浸泡该种子层于一溶液里。
8、根据权利要求4所述的部分半导体组件的形成方法,其特征在于其中所述的形成一蚀刻中止层包括长链分子,至少一长链聚合物,至少一纳米碳管,至少一氧化锌纳米管,对准长链分子或至少一对准长链聚合物的形成。
9、根据权利要求2或3所述的部分半导体组件的形成方法,其特征在于其中所述的显影该光感层以形成一种子层更包括显影该光感层以暴露出该基底的一部份,其中该基底的该暴露部分形成一种子层。
10、根据权利要求9所述的部分半导体组件的形成方法,其特征在于其更包括形成该蚀刻中止层后移除该光感层的一剩余部分。
11、根据权利要求9所述的部分半导体组件的形成方法,其特征在于其更包括形成该蚀刻中止层前移除该光感层的一剩余部分。
12、根据权利要求9所述的部分半导体组件的形成方法,其特征在于其中所述的形成该光感层于该基底上包括选择一负光阻剂用于作为该光感层。
13、根据权利要求9所述的部分半导体组件的形成方法,其特征在于其中所述的形成该光感层于该基底上包括选择一正光阻剂用于作为该光感层。
14、一种部分半导体组件的形成方法,其特征在于其包括以下步骤:
形成一光感层于一基底上;
显影该光感层以暴露出该基底的一部份,其中该基底的该暴露部分形成一种子层;
形成一蚀刻中止层于该种子层之上;以及
使用该蚀刻中止层作为一屏蔽以蚀刻该基底。
CN2006100995053A 2005-10-31 2006-07-26 部分半导体组件的形成方法 Active CN1959940B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US73182805P 2005-10-31 2005-10-31
US60/731,828 2005-10-31
US11/347,513 2006-02-03
US11/347,513 US7220680B1 (en) 2005-10-31 2006-02-03 Method for photolithography in semiconductor manufacturing

Publications (2)

Publication Number Publication Date
CN1959940A true CN1959940A (zh) 2007-05-09
CN1959940B CN1959940B (zh) 2011-02-02

Family

ID=37996983

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006100995053A Active CN1959940B (zh) 2005-10-31 2006-07-26 部分半导体组件的形成方法

Country Status (6)

Country Link
US (1) US7220680B1 (zh)
JP (1) JP4562716B2 (zh)
KR (1) KR100833120B1 (zh)
CN (1) CN1959940B (zh)
NL (1) NL1031939C2 (zh)
TW (1) TWI298514B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106783120A (zh) * 2016-12-13 2017-05-31 深圳顺络电子股份有限公司 一种电子元件电极的制作方法及电子元件

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080296562A1 (en) * 2007-05-31 2008-12-04 Murduck James M Methods and apparatus for fabricating carbon nanotubes and carbon nanotube devices
US8518818B2 (en) * 2011-09-16 2013-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse damascene process

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4774164A (en) * 1987-04-06 1988-09-27 Tegal Corporation Chrome mask etch
JPH04240729A (ja) * 1991-01-24 1992-08-28 Toshiba Corp パターン形成方法
US5370969A (en) * 1992-07-28 1994-12-06 Sharp Kabushiki Kaisha Trilayer lithographic process
JP3385708B2 (ja) * 1994-03-23 2003-03-10 住友電気工業株式会社 微細構造体の形成方法
JPH08293484A (ja) * 1995-04-20 1996-11-05 Matsushita Electron Corp プラズマ処理方法
US6445006B1 (en) * 1995-12-20 2002-09-03 Advanced Technology Materials, Inc. Microelectronic and microelectromechanical devices comprising carbon nanotube components, and methods of making same
EP0845288A1 (en) * 1996-11-27 1998-06-03 Thiopaq Sulfur Systems B.V. Process for biological removal of sulphide
JP3467372B2 (ja) * 1997-02-25 2003-11-17 松下電器産業株式会社 パターン形成方法及び半導体処理方法
KR20010037979A (ko) * 1999-10-21 2001-05-15 박종섭 반도체 소자의 제조방법
TW575786B (en) * 2000-03-14 2004-02-11 Takashi Nishi Exposure controlling photomask and production method thereof
US6750150B2 (en) * 2001-10-18 2004-06-15 Macronix International Co., Ltd. Method for reducing dimensions between patterns on a photoresist
US7008872B2 (en) * 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US6774051B2 (en) * 2002-06-12 2004-08-10 Macronix International Co., Ltd. Method for reducing pitch
US6924081B1 (en) * 2003-07-10 2005-08-02 Marc David Levenson Photosensitive material for immersion photolithography
US6905958B2 (en) * 2003-07-25 2005-06-14 Intel Corporation Protecting metal conductors with sacrificial organic monolayers
KR100681970B1 (ko) * 2005-06-08 2007-02-15 후지쯔 가부시끼가이샤 에칭 내성 막 및 그의 제조 방법, 표면 경화 레지스트 패턴및 그의 제조 방법, 및 반도체 장치 및 그의 제조 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106783120A (zh) * 2016-12-13 2017-05-31 深圳顺络电子股份有限公司 一种电子元件电极的制作方法及电子元件

Also Published As

Publication number Publication date
NL1031939C2 (nl) 2008-04-15
TW200723362A (en) 2007-06-16
JP4562716B2 (ja) 2010-10-13
US20070099432A1 (en) 2007-05-03
KR20070046703A (ko) 2007-05-03
US7220680B1 (en) 2007-05-22
JP2007129217A (ja) 2007-05-24
NL1031939A1 (nl) 2007-05-02
TWI298514B (en) 2008-07-01
CN1959940B (zh) 2011-02-02
KR100833120B1 (ko) 2008-05-28

Similar Documents

Publication Publication Date Title
CN1755938A (zh) 纳米结构及相应的制造方法
CN1862785A (zh) 制造半导体装置的方法
CN1755895A (zh) 用于实现纳米器件的接纳结构的方法
CN1992201A (zh) 用于形成具有鳍状结构的半导体元件的方法
CN1860586A (zh) 用于制造硬掩模的方法和硬掩模结构
CN101055837A (zh) 半导体装置的制造方法
CN1875489A (zh) 制造垂直场效应晶体管的方法和场效应晶体管
CN1832144A (zh) 制造快闪存储装置的方法
CN1858900A (zh) 在存储器件中制造三沟道晶体管的方法
CN1433011A (zh) 具有改进的发射区域的平面电子发射器装置及其制造方法
CN101030539A (zh) 制作半导体元件的方法
CN1741263A (zh) 制造半导体器件的方法以及一种半导体衬底
CN1471134A (zh) 缩小导体图案的间距的方法及使用此方法形成的结构
CN1789007A (zh) 印版及其制造方法
CN1716543A (zh) 多层堆栈栅极结构及其制作方法
CN1941418A (zh) 存储单元以及具有该存储单元的半导体非易失性存储器的结构
CN1905160A (zh) 集成半导体结构的制造方法及相应的集成半导体结构
CN1512272A (zh) 利用氟化氩曝光光源制造半导体器件的方法
CN1835208A (zh) 制造半导体器件的方法
CN100343979C (zh) 包括有均匀分布的硅纳米点的栅的存储器的制造方法
CN1959940A (zh) 部分半导体组件的形成方法
CN1694237A (zh) 制造具有凹槽沟道区域的半导体装置的方法
CN1901169A (zh) 制造薄膜晶体管基板的方法
CN112599418B (zh) 一种三维折线纳米线阵列垂直场效应晶体管的制备方法
CN1812103A (zh) 在栅极电极上具有硅层的半导体器件

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant