JP2007129217A - 半導体デバイス製造におけるフォトリソグラフィ法 - Google Patents

半導体デバイス製造におけるフォトリソグラフィ法 Download PDF

Info

Publication number
JP2007129217A
JP2007129217A JP2006290632A JP2006290632A JP2007129217A JP 2007129217 A JP2007129217 A JP 2007129217A JP 2006290632 A JP2006290632 A JP 2006290632A JP 2006290632 A JP2006290632 A JP 2006290632A JP 2007129217 A JP2007129217 A JP 2007129217A
Authority
JP
Japan
Prior art keywords
layer
semiconductor device
photosensitive layer
substrate
photolithography method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006290632A
Other languages
English (en)
Other versions
JP4562716B2 (ja
Inventor
Chin-Hsiang Lin
進祥 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2007129217A publication Critical patent/JP2007129217A/ja
Application granted granted Critical
Publication of JP4562716B2 publication Critical patent/JP4562716B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Light Receiving Elements (AREA)

Abstract

【課題】薄い感光性層による利点が得られると共に、一般的な感光性層とバッファ/エッチストップ層を使用した場合においても現像/エッチングに要する工程を減らす。
【解決手段】基板上に感光性層を形成する工程と、所定のパターンを使用して前記感光性層をパターン化する工程と、前記感光性層を現像して前記所定のパターンに相当するパターンを有するシード層を形成する工程と、前記シード層の上にのみ複数の長鎖分子からなり、かつ実質的に前記シード層に垂直な厚層を形成する工程と、を含む、半導体デバイス製造におけるフォトリソグラフィ法を提供する。
【選択図】図1

Description

本発明は、半導体デバイス製造におけるフォトリソグラフィ法に関し、特に、薄い感光性層による利点が得られると共に、一般的な感光性層及びバッファ/エッチストップ層を使用した場合においても現像/エッチングに要する工程数を減らすことができる、半導体デバイス製造におけるフォトリソグラフィ法に関する。
焦点深度(DOF)は、半導体デバイス製造における1つの要素である。一般的に、効果的なDOFは、フォトレジストの厚さ、基板の局所的な位相段差の高さ、及びウェハの中心と端の段差の変化を全て網羅することができる。効果的なDOFによって、残渣や表面損失が殆んどない、又は全くない状態、或いは所望の限界寸法(CD)以内において半導体デバイスを容易に製造することができる。
フォトレジストがDOFより厚い場合は問題が発生する。例えば、DOFがフォトレジスト層の厚さの変化量と段差の高さの変化量の加算値より小さいとき、半導体デバイスのパターンに残渣又はCD誤差となって現れる場合がある。それゆえに、薄いフォトレジスト層においてこの問題を解決することが望まれている。このような薄いフォトレジスト層は、例えば、電子ビーム或いは極紫外線(EUV)のような低露光量の露光手段を使用する場合に大変好適である。何故なら、それらの露光手段はレジストのコントラスト、解像度、及び溶解度を向上させることができるからである。また、大量生産の目的で、薄いフォトレジスト層と低露光量の露光手段を組み合わせることにより、半導体デバイスの生産処理能力を向上させることができる。
しかしながら、薄いフォトレジスト層を使用すると、結果的に不利益となる場合がある。例えば、薄いフォトレジスト層において、エッチング中に適切な保護処置を行なわないと、エッチングの出来栄えに悪影響を及ぼすことになる。この問題を解決するために、2段階の工程を行なうことが考えられる。例えば、比較的薄い感光性層を厚いバッファ層上に形成する。次に、感光性層を現像して所定のパターンに形成し、それから、感光性層に形成されたパターンに相当するパターンをバッファ層にエッチングする。基板をエッチングするとき、バッファ層はエッチストップ層(マスク)として使用するため、基板に達するには、基板をエッチングする前に2回の除去工程(現像及びエッチング)が必要となる。
従って、この不利益に対処するため、新規であって、かつ改善されたフォトリソグラフィ工程が求められている。
そこで、本発明の目的は、薄い感光性層において利点が得られると共に、一般的な感光性層、及びバッファ/エッチストップ層を使用した場合においても、現像/エッチングに要する工程数を減らすことができる、半導体デバイス製造におけるフォトリソグラフィ法を提供することにある。ここで、説明を容易にするために、添付図面において半導体デバイスの一部を図示し、以下、半導体デバイスは「部分半導体デバイス(partial semiconductor device)」とも称する。
以下に記載する部分半導体デバイス製造におけるフォトリソグラフィ法により、本発明の目的を達成した。
(1)基板上に感光性層を形成する工程と、所定のパターンを使用して前記感光性層をパターン化する工程と、前記感光性層を現像して、前記所定のパターンに相当するパターンのシード層を形成する工程と、前記シード層の上にのみ、複数の長鎖分子からなり、かつ実質的に前記シード層に垂直な厚層を形成する工程と、を含む部分半導体デバイス製造におけるフォトリソグラフィ法。
(2)前記厚層をエッチストップ層として、前記基板をエッチングする工程を更に含む前記第(1)項記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
(3)前記厚層をイオン注入層として、前記基板に注入する工程を更に含む前記第(1)項記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
(4)感光性層を現像して前記シード層を形成する工程は、前記感光性層を現像して基板の一部を露出させ、少なくとも一部の現像後に残された前記感光性層から、前記シード層を形成する工程を更に含む前記第(2)又は(3)項に記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
(5)前記エッチストップ層が、前記シード層より比較的厚いか又は硬い前記第(4)項記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
(6)前記シード層をめっき電極として前記エッチストップ層を成長させる工程を含む前記第(4)項記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
(7)前記シード層を溶液中に浸漬する工程を含む前記第(4)項記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
(8)少なくとも1つの長鎖分子と、少なくとも1つの長鎖ポリマーと、少なくとも1つのカーボンナノチューブと、少なくとも1つの酸化亜鉛(ZnO)ナノチューブと、1列に配列された長鎖分子、又は少なくとも1つの1列に配列された長鎖ポリマーと、を形成する工程を含む前記第(4)項記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
(9)前記感光性層を現像して前記シード層を形成する工程は、前記感光性層を現像して基板の一部を露出させ、そこで前記シード層を形成する工程を更に含むことを特徴とする前記第(2)又は(3)項に記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
(10)前記エッチストップ層を形成した後、前記感光性層の残りの部分を除去する工程を更に含む前記第(9)項記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
(11)前記エッチストップ層を形成する前に、前記感光性層の残りの部分を除去する工程を更に含むことを特徴とする前記第(9)項記載の部分フ半導体デバイス製造におけるフォトリソグラフィ法。
(12)前記基板上に感光性層を形成する工程は、感光性層として選択されたネガ型フォトレジストを使用する工程を含む前記第(9)項記載の部分フォトリソグラフィ法半導体デバイス製造におけるフォトリソグラフィ法。
(13)前記基板上に感光性層を形成する工程は、感光性層として選択されたポジ型フォトレジストを使用する工程を含む前記第(9)項記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
(14)基板上に感光性層を形成する工程と、前記感光性層を現像して前記基板の一部を露出させ、そこで、前記基板の露出した一部がシード層を形成する工程と、前記シード層の上にだけエッチストップ層を形成する工程と、前記エッチストップ層をマスクとして前記基板をエッチングする工程と、を含む部分半導体デバイス製造におけるフォトリソグラフィ法。
本発明によれば、ある形態において、基板上に感光性層を形成する工程と、所定のパターンを使用して前記感光性層をパターン化する工程と、前記感光性層を現像して、前記所定のパターンに相当するパターンのシード層を形成する工程と、前記シード層の上にのみ複数の長鎖分子からなりかつ実質的に前記シード層に垂直な厚層を形成する工程と、を含み、更に別の形態において、基板上に感光性層を形成する工程と、感光性層を現像して前記基板の一部を露出させ、そこで前記基板の露出した一部でシード層を形成する工程と、前記シード層の上にのみエッチストップ層を形成する工程と、前記エッチストップ層をマスクとして前記基板をエッチングする工程と、を含むことにより、薄い感光性層による利点が得られると共に、一般的に現像/エッチングに要する工程数を減らすことができる。
以下、本発明による部分半導体デバイス製造におけるフォトリソグラフィ法の実施例を、図面を用いて説明する。なお、半導体デバイスは、説明を容易にするために、その一部を図示し、以下、部分半導体デバイスとも称する。更に、その各形状は、縮尺比に基づいて図示したのではなく、明白にするために慣例に従って任意に図示したものである。
以下、引き続いて説明する本発明のそれぞれ異なる具体的実施例は、本発明の異なる形態を実現させるためのものである。本発明を理解し易くするため、具体的な構成部分と配置の実例について下記に説明する。当然のことながら、これらの実施例は、本発明を限定するためのものではない。また、本発明は、各実施例において、参照符号及び/又は部品名が重複することがあるが、それは説明を平易にかつ明確にするためであって、本質的に具体例及び/又は構成の間に何らかの関係を規定するためのものではない。更に、説明の中で、第1の形態が、第2の形態の上に構成される場合、実施例における第1の形態と第2の形態が直接接触した状態で構成された形態を含み、更に、実施例における第1の形態と第2の形態の間に付加的な形状が入り、第1の形態と第2の形態が直接接していない状態で構成された形態も含む。
図1に示す一実施例において、方法100は、薄い感光性層による利点が得られると共に、一般的な感光性層及びバッファ/エッチストップ層を使用した場合において現像/エッチングに要する工程数を減らすのに利用することができる。方法100を用いて部分半導体デバイス200を製造する一実施例を図2〜図7を参照しながら説明する。当然のことながら、部分半導体デバイス200は、方法100を用いて製造されるデバイスの一実施例であって、他の工程(例えば、洗浄など)を以下に記述する工程に追加して実施することができる。
工程102において、図2に示すように、感光性層206(例えば、フォトレジスト)は下位層204の上に形成される。層204は、別の層202の上に配置されている。層204は、1つ又は複数の絶縁体、導体、及び/又は半導体層として形成することができる。例えば、層204は、導電層として形成することができ、層202は、層204を層202の下にある導電性材料(図示せず)に接続するビヤ(図示せず)を有する絶縁体層として形成することができる。別の実施例において、層204を絶縁体層とし、層202を導電層とすることができる。更に、別の実施例において、層202は存在しなくてもよく、層204は、クリスタルシリコン、ポリシリコン、アモルファスシリコン、及び/又はゲルマニウムなどのような元素半導体材料と、シリコンカーバイド及び/又はガリウム砒素のような化合物半導体と、SiGe、GaAsP、AlInAs、AlGaAs及び/又はGaInPなどのような合金半導体を含むことができる。また、層204は、バルクシリコンのようなバルク半導体を含むことができる。更に、前記バルク半導体は、エピタキシャルシリコン層を含むことができる。層204は、更に、又はその代わりにシリコン・オン・インシュレーター(SOI)基板のようなセミコンダクター・オン・インシュレーター(Semiconductor On Insulator)基板、或いは薄膜トランジスタ(TFT)の基板などを含むことができる。層204は、更に、又はその代わりにマルチプルシリコン構造(multiple silicon structure)、或いは、マルチレイヤ化合物半導体構造(multilayer compound semiconductor structure)を含むことができる。
感光性層206の形成は、レジスト剤(例えば、ポジ型レジスト、或いはネガ型レジスト)、ポリマー層、及び/又はその他の適当な材料の堆積を含む。本実施例において、感光性層206は、ポジ型レジスト材料を使用し、かつ100〜2000オングストロームの厚さを有している。レジスト剤は、スピンコート法及び/又はその他の方法で層204の表面の上に散布して堆積させることができる。ある実施例において、感光性層206は、酸性触媒を使う化学増幅型レジストを使用することができる。
工程104においては、図3と図4に示すように、感光性層206の上にパターン300を形成し(図3)、感光性層206を現像する(図4)。パターンは、ライン、スペース、ホール(例えば、ビア)、アイランド、或いはその他のパターンを含む。パターン化後、感光性層206には、現像工程でシード層400としてレジスト画像が形成される。本実施例において、レジスト剤は、光に対して敏感な感光材料で、かつ、次の工程でこのレジスト画像から厚いバッファ層に成長させる結合(bond)が得られるものを選択する。本実施例において、シード層400は、約100〜2000オングストロームの厚さを有している。
工程106において、図5に示すように、層500は、シード層400の上に形成することができる。当然のことながら、本実施例において、層500は、層204の露出した表面の上に形成されず、シード層400の上のみに形成される。層500は、各種の方法を利用して形成することができる。また、形成後は、シード層400より厚く及び/又は硬くなる。後述するように、層500は、次のエッチング工程でエッチストップ層(マスク)として使用することができる。そのために、エッチストップ層500の形成に使用される材料は、エッチングされる下位層(例えば、層204)の組成によって決まる。例えば、層204が金属層で、かつウェットエッチング法でエッチングされる場合には、特定の組成物を選択し、層204が酸化物層で、かつドライエッチング法でエッチングされる場合には、異なる組成物を選択する。
層500は、ある実施例においてシード層400をpH値が7より低い溶液にさらし、別の実施例においてpH値が7より高い溶液にさらすことにより形成することができる。更に、別の実施例において、第2層500は、CVD(化学気相堆積)法などのような工程を利用してプラズマ環境下で形成することができる。また、別の実施例において、レーザパルス気化法(laser pulse vaporization)でシード層400を利用して選択的に層500を堆積させることができる。
層500は、図5に示すようなZ方向の長鎖分子、或いは長鎖ポリマーを使用することにより形成することができる。例えば、長鎖分子或いは長鎖ポリマーは、1つ又は複数のカーボンナノチューブ、1つ又は複数の酸化亜鉛(ZnO)ナノチューブ、1列に配列された長鎖分子、1つ又は複数の1列に配列された長鎖ポリマー、及び/又はその他の適当な材料を含むことができる。第2層500の厚さは、約200〜600ナノメートルの範囲が好ましい。また、別の実施例において、無電解めっきを使用してエッチストップ層を成長させることができる。代わりに金属粒子をフォトレジストと混合することで、めっき電極の金属ベースを提供することができる。
工程108において、図6に示すように、層500をエッチストップ層(マスク)として使用して層204をエッチングする。エッチング工程においては、ドライエッチング、ウェットエッチング、及び/又はその他のエッチング法を含む1回又は複数回のエッチング工程を行なうことができる。層204は、図6では完全にエッチングされたものとして示されているが、必要量の層204が除去された場合、或いは露出した層204の全てをエッチングする必要がない場合はエッチングを停止させることができる。
工程110において、図7に示すように、シード層400とエッチストップ層500は、ウェットケミカルエッチング及び/又はドライエッチング工程で除去することができる。
従って、方法100を使用すれば、エッチストップ層は、1回のみの現像/エッチング工程で形成させることができる。当然のことながら、半導体デバイス200を完成させるためには、更にいくつかの付加的な工程を実施しなければならない。これらの付加的な工程は、よく知られた技術であり、また、形成しようとする半導体デバイス200の仕様によって変わるので、本願明細書では言及されない。更に、前記各実施例は、当然各種の変形が可能である。例えば、エッチングするために第2層である層500を使用する代わりに、イオン注入の目的で使用することができる。第2の例として、第2層である層500をシード層400の上に独立した層として形成することができる。第3の例として、第2層である層500にシード層400を含ませることができる。このように、前記の説明に各種の修正を加えることができるがこれら全ては本発明に含まれる。
別の一実施例において、図8に示すように、方法800は、薄い感光性層による利点が得られると共に、一般的な感光性層とバッファ/エッチストップ層を使用した場合においても現像/エッチングに要する工程数を減らすのに利用することができる。方法800を用いて部分半導体デバイス900を製造する一実施例を図9〜図14を参照しながら説明する。当然のことながら、部分半導体デバイス900は、方法800を用いて製造されるデバイスの一実施例のみであって、その他の工程(例えば、洗浄など)を以下に記述する工程に追加して実施することができる。
工程802において、図9に示すように、感光性層906(例えば、フォトレジスト)は下位層904の上に形成される。層904は、1つ又は複数の絶縁体、導体、及び/又は半導体層として形成することができる。例えば、層904は、導電層として形成することができ、層902は、層904を層902の下にある導電性材料(図示せず)に接続するためのビヤ(図示せず)を有する絶縁体層として形成することができる。別の実施例において、層904を絶縁体層とし、層902を導電層とすることができる。更に、別の実施例において、層902は存在しなくてもよく、層904は、クリスタルシリコン、ポリシリコン、アモルファスシリコン、及び/又はゲルマニウムなどのような元素半導体材料と、シリコンカーバイド及び/又はガリウム砒素のような化合物半導体と、SiGe、GaAsP、AlInAs、AlGaAs及び/又はGaInPなどのような合金半導体を含むことができる。また、層904は、バルクシリコンのようなバルク半導体を含むことができる。更に、前記バルク半導体は、エピタキシャルシリコン層を含むことができる。層904は、更に、又はその代わりにシリコン・オン・インシュレーター(SOI)基板のようなセミコンダクター・オン・インシュレーター(Semiconductor On Insulator)基板、或いは薄膜トランジスタ(TFT)の基板などを含むことができる。層904は、更に、又はその代わりにマルチプルシリコン構造(multiple silicon structure)、或いは、マルチレイヤ化合物半導体構造(multilayer compound semiconductor structure)を含むことができる。
感光性層906の形成は、レジスト剤(例えば、ポジ型レジスト、或いはネガ型レジスト)の堆積、ポリマー層、及び/又はその他の適当な材料の堆積を含む。本実施例において、感光性層906は、ポジ型レジスト材料を使用し、かつ100〜2000オングストロームの厚さを有している。レジスト剤は、スピンコート法及び/又はその他の方法で層904の表面の上に散布して堆積させることができる。
工程804においては、図9と図11に示すように、感光性層906の上にパターン908を形成し(図9)、感光性層906を現像する(図10)。パターンは、ライン、スペース、ホール(例えば、ビア)、アイランド、或いはその他のパターンを含む。フォトレジスト層906は、ネガ型フォトレジストで形成されているので、パターン908が示す区域は、フォトレジストを除去するために現像される区域である。一旦この区域が除去されると、開口部1000からその下面にある金属層904の金属が露出する。パターン化と現像後、層904は、堆積或いは浸漬の工程を経て、選択的に層904(図11)の露出部分にシード層1100を形成する。当然のことながら、ある実施例において、層904自身をシード層として機能させることによって、シード層形成の必要性をなくすことができる。例えば、層904をめっき電極として機能させ、その後、既知の電極めっき法でエッチストップ層1102を形成することができる。
工程806において、図11に示すように、シード層400の上に層1102を形成することができる。当然のことながら、本実施例において、層1102は、層906の露出した表面の上に形成されず、シード層1100の上のみに形成される。層1102は、各種の方法を利用して形成することができる。また、形成後は、シード層1100よりも更に厚く及び/又は硬くなる。後述するように、次のエッチング工程で層1102をエッチストップ層(マスク)として使用することができる。そのため、エッチストップ層1102の形成に使用される材料は、エッチングされる下位層の組成、及び下位層をエッチングする工程によって決まる。層1102は、前述のような多様な工程の1種或いは複数の工程を用いて形成することができる。
工程808において、図12に示すように、フォトレジスト層906除去することができる。この際、下位層904も更に除去することができる。ある実施例において、エッチストップ層1102を形成する前にフォトレジスト層906を除去する。
工程810では、図13に示すように、層1102をエッチストップ層として使用して層904をエッチングする。エッチング工程においては、ドライエッチング、ウェットエッチング、及び/又はその他のエッチング法を含む1回又は複数回のエッチング工程を行なうことができる。層904は、図13では完全にエッチングされたものとして示されているが、必要量の層904が除去された場合、或いは露出した層904の全てをエッチングする必要がない場合はエッチングを停止させることができる。更に、ある実施例において、フォトレジスト層906と層904は、1回のみのエッチング工程で除去することができる。工程812において、図14に示すように、付加的な加工処理を行なうためにシード層1100とエッチストップ層1102を除去して、層904の残りの部分を露出させることができる。このような除去は、化学ウェットエッチング、又はドライエッチング・アッシング(dry etch ashing)処理で行なうことができる。
また、別の実施例において、図15に示すように、図9におけるフォトレジスト層906と層904の間には、付加層1500を設置することができる。ある実施例において、層1500は、シード層とすることができる。また、フォトレジスト層906を現像して層1500の一部を露出させることによって、前述のシード層の形成工程を提供することができる。このような実施例において、シード層1500をめっき電極として機能させ、その後、既知の電極めっき法でエッチストップ層1102を形成することができる。エッチストップ層1102を形成すると、残りのネガ型フォトレジスト層を除去し、更に、その下にある金属層と誘電体層を前述のようにエッチングすることができる。
本発明では、既に前述したいくつかの好適な実施例を開示したが、それは本発明を限定するためのものではなく、当然のことながら、この技術に熟知するものなら誰しもが、本発明の精神と範囲内を逸脱せずに各種の変更と修正を加えることができることを認識している。同様に、前述のいくつかの実施例に関する図解と説明の特徴は、他の実施例に関する図解と説明の特徴と結合させることができる。それゆえに、本発明の保護範囲は、当然添付の請求項に限定されたものであると見なすべきである。
本発明の一実施例を実施する半導体の製造における方法を示すフローチャートである。 図1の方法を使用して製造する、感光性層に他の層をオーバレイした部分半導体デバイスの一実施例の斜視図である。 感光性層上にパターンが形成された図2の部分半導体デバイスを示す斜視図である。 パターンに基づいて感光性層を現像した後の図3の部分半導体デバイスの斜視図である。 現像した感光性層の上に第2層を形成した後の図4の部分半導体デバイスの斜視図である。 現像した感光性層の下にある層のエッチングにおいて、第2層をマスクとして使用した後の図5の部分半導体デバイスの斜視図である。 第2層と感光性層を除去した後の図6の部分半導体デバイスの斜視図である。 本発明の別の実施例を実施する半導体の製造における方法を示すフローチャートである。 図8の方法を使用して製造する、感光性層に他の層をオーバレイした部分半導体デバイスの一実施例の斜視図である。 パターンに基づいて感光性層を現像した後の図10の部分半導体デバイスの斜視図である。 シード層の上にパターンで定義された第2層を形成した後の図10の部分半導体デバイスの斜視図である。 感光性層の残りの部分を除去した後の図11の部分半導体デバイスの斜視図である。 現像した感光性層の下にある層のエッチングにおいて、第2層をマスクとして使用した後の図12の部分半導体デバイスの斜視図である。 第2層を除去した後の図13の部分半導体デバイスの斜視図である。 図9の部分半導体デバイスの別の実施例の斜視図である。
符号の説明
100、800 方法
102、104、106、108、110、802、804、806、808、810、812 工程
200、900 半導体デバイス
202、902、1500 層
204、904 下位層
206、906 感光性層
300、908 パターン
400、1100 シード層
500、1102 エッチストップ層
1000 開口部

Claims (14)

  1. 基板上に感光性層を形成する工程と、
    所定のパターンを使用して前記感光性層をパターン化する工程と、
    前記感光性層を現像して、前記所定のパターンに相当するパターンを有するシード層を形成する工程と、
    前記シード層の上にのみ、複数の長鎖分子からなり、かつ実質的に前記シード層に垂直な厚層を形成する工程と、を含む部分半導体デバイス製造におけるフォトリソグラフィ法。
  2. 前記厚層をエッチストップ層として、前記基板をエッチングする工程を更に含む請求項1記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
  3. 前記厚層をイオン注入層として、前記基板に注入する工程を更に含む請求項1記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
  4. 前記感光性層を現像して前記シード層を形成する工程は、前記感光性層を現像して基板の一部を露出させ、少なくとも一部の現像後に残された前記感光性層から前記シード層を形成する工程を更に含む請求項2又は3に記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
  5. 前記エッチストップ層が、前記シード層より比較的厚いか又は硬い請求項4記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
  6. 前記シード層をめっき電極として前記エッチストップ層を成長させる工程を含む請求項4記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
  7. 前記シード層を溶液中に浸漬する工程を含む請求項4記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
  8. 少なくとも1つの長鎖分子と、少なくとも1つの長鎖ポリマーと、少なくとも1つのカーボンナノチューブと、少なくとも1つの酸化亜鉛(ZnO)ナノチューブと、1列に配列された長鎖分子、又は少なくとも1つの1列に配列された長鎖ポリマーと、を形成する工程を含む請求項4記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
  9. 前記感光性層を現像して前記シード層を形成する工程は、前記感光性層を現像して基板の一部を露出させ、そこで前記シード層を形成する工程を更に含む請求項2又は3に記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
  10. 前記エッチストップ層を形成した後、前記感光性層の残りの部分を除去する工程を更に含む請求項9記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
  11. 前記エッチストップ層を形成する前に、前記感光性層の残りの部分を除去する工程を更に含む請求項9記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
  12. 前記基板上に感光性層を形成する工程は、感光性層として選択されたネガ型フォトレジストを使用する工程を含む請求項9記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
  13. 前記基板上に感光性層を形成する工程は、感光性層として選択されたポジ型フォトレジストを使用する工程を含む請求項9記載の部分半導体デバイス製造におけるフォトリソグラフィ法。
  14. 基板上に感光性層を形成する工程と、
    前記感光性層を現像して前記基板の一部を露出させ、そこで前記基板の露出した一部でシード層を形成する工程と、
    前記シード層の上にのみエッチストップ層を形成する工程と、
    前記エッチストップ層をマスクとして前記基板をエッチングする工程と、を含む部分半導体デバイス製造におけるフォトリソグラフィ法。
JP2006290632A 2005-10-31 2006-10-26 半導体デバイス製造におけるフォトリソグラフィ法 Active JP4562716B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US73182805P 2005-10-31 2005-10-31
US11/347,513 US7220680B1 (en) 2005-10-31 2006-02-03 Method for photolithography in semiconductor manufacturing

Publications (2)

Publication Number Publication Date
JP2007129217A true JP2007129217A (ja) 2007-05-24
JP4562716B2 JP4562716B2 (ja) 2010-10-13

Family

ID=37996983

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006290632A Active JP4562716B2 (ja) 2005-10-31 2006-10-26 半導体デバイス製造におけるフォトリソグラフィ法

Country Status (6)

Country Link
US (1) US7220680B1 (ja)
JP (1) JP4562716B2 (ja)
KR (1) KR100833120B1 (ja)
CN (1) CN1959940B (ja)
NL (1) NL1031939C2 (ja)
TW (1) TWI298514B (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080296562A1 (en) * 2007-05-31 2008-12-04 Murduck James M Methods and apparatus for fabricating carbon nanotubes and carbon nanotube devices
US8518818B2 (en) 2011-09-16 2013-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse damascene process
CN106783120B (zh) * 2016-12-13 2018-03-27 深圳顺络电子股份有限公司 一种电子元件电极的制作方法及电子元件

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04240729A (ja) * 1991-01-24 1992-08-28 Toshiba Corp パターン形成方法
JPH07263379A (ja) * 1994-03-23 1995-10-13 Sumitomo Electric Ind Ltd 微細構造体の形成方法
JPH08293484A (ja) * 1995-04-20 1996-11-05 Matsushita Electron Corp プラズマ処理方法
JPH10242022A (ja) * 1997-02-25 1998-09-11 Matsushita Electric Ind Co Ltd パターン形成方法及び半導体処理方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4774164A (en) * 1987-04-06 1988-09-27 Tegal Corporation Chrome mask etch
US5370969A (en) * 1992-07-28 1994-12-06 Sharp Kabushiki Kaisha Trilayer lithographic process
US6445006B1 (en) * 1995-12-20 2002-09-03 Advanced Technology Materials, Inc. Microelectronic and microelectromechanical devices comprising carbon nanotube components, and methods of making same
EP0845288A1 (en) * 1996-11-27 1998-06-03 Thiopaq Sulfur Systems B.V. Process for biological removal of sulphide
KR20010037979A (ko) * 1999-10-21 2001-05-15 박종섭 반도체 소자의 제조방법
TW575786B (en) * 2000-03-14 2004-02-11 Takashi Nishi Exposure controlling photomask and production method thereof
US6750150B2 (en) * 2001-10-18 2004-06-15 Macronix International Co., Ltd. Method for reducing dimensions between patterns on a photoresist
US7008872B2 (en) * 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US6774051B2 (en) * 2002-06-12 2004-08-10 Macronix International Co., Ltd. Method for reducing pitch
US6977135B2 (en) * 2003-07-10 2005-12-20 Marc David Levenson Photosensitive material for immersion photolithography
US6905958B2 (en) * 2003-07-25 2005-06-14 Intel Corporation Protecting metal conductors with sacrificial organic monolayers
KR100681970B1 (ko) * 2005-06-08 2007-02-15 후지쯔 가부시끼가이샤 에칭 내성 막 및 그의 제조 방법, 표면 경화 레지스트 패턴및 그의 제조 방법, 및 반도체 장치 및 그의 제조 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04240729A (ja) * 1991-01-24 1992-08-28 Toshiba Corp パターン形成方法
JPH07263379A (ja) * 1994-03-23 1995-10-13 Sumitomo Electric Ind Ltd 微細構造体の形成方法
JPH08293484A (ja) * 1995-04-20 1996-11-05 Matsushita Electron Corp プラズマ処理方法
JPH10242022A (ja) * 1997-02-25 1998-09-11 Matsushita Electric Ind Co Ltd パターン形成方法及び半導体処理方法

Also Published As

Publication number Publication date
JP4562716B2 (ja) 2010-10-13
NL1031939C2 (nl) 2008-04-15
CN1959940A (zh) 2007-05-09
US7220680B1 (en) 2007-05-22
KR100833120B1 (ko) 2008-05-28
KR20070046703A (ko) 2007-05-03
TW200723362A (en) 2007-06-16
US20070099432A1 (en) 2007-05-03
NL1031939A1 (nl) 2007-05-02
TWI298514B (en) 2008-07-01
CN1959940B (zh) 2011-02-02

Similar Documents

Publication Publication Date Title
JP4890524B2 (ja) リソグラフィパターンの形成方法
JP6133585B2 (ja) Euvフォトレジスト封入
JP5690882B2 (ja) 炭素質ハードマスクによる二重露光パターニング
CN108572511A (zh) 用于euv光刻的防护膜及其制造方法
US8492076B2 (en) Method of manufacturing carbon nanotube device array
JP2006186327A (ja) 鉛系物質を利用した電子ビームリソグラフィ法
JP2007521645A (ja) インプリント・リソグラフィによる単一デュアルダマシン製法
US20120312472A1 (en) Semiconductor device manufacturing apparatus
JP3506248B2 (ja) 微小構造の製造方法
TW202006475A (zh) 使用光罩的圖案形成方法、光罩及其製造方法
US8815496B2 (en) Method for patterning a photosensitive layer
US20050118531A1 (en) Method for controlling critical dimension by utilizing resist sidewall protection
JP2007129217A (ja) 半導体デバイス製造におけるフォトリソグラフィ法
US6630404B1 (en) Reducing feature dimension using self-assembled monolayer
US7316872B2 (en) Etching bias reduction
US7939247B2 (en) Process of patterning small scale devices
KR20040030501A (ko) X-ray/euv 투사 리소그래피에 의한 금속/반도체화합물 구조의 형성
WO2007116362A1 (en) Method of manufacturing a semiconductor device
US6210842B1 (en) Method for fabricating stencil mask
JP2007258650A (ja) 転写マスクブランク及び転写マスク並びにパターン露光方法
US20070178409A1 (en) Exposure method of forming three-dimensional lithographic pattern
JP2004134720A (ja) ドライリソグラフィ法およびこれを用いたゲートパターン形成方法
TWI845833B (zh) 形成圖案的方法和製造半導體裝置的方法
KR100401517B1 (ko) 반도체 제조용 노광 마스크의 제조방법
JP2009152403A (ja) Soiウェハ、転写マスクブランク、転写マスク、転写マスクの製造方法、及び転写マスクを用いたパターン露光方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091117

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100216

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100224

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100304

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100330

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100614

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100713

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100727

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130806

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4562716

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250