CN1858898A - 浅渠沟隔离结构的制造方法以及半导体结构 - Google Patents

浅渠沟隔离结构的制造方法以及半导体结构 Download PDF

Info

Publication number
CN1858898A
CN1858898A CNA2006100791003A CN200610079100A CN1858898A CN 1858898 A CN1858898 A CN 1858898A CN A2006100791003 A CNA2006100791003 A CN A2006100791003A CN 200610079100 A CN200610079100 A CN 200610079100A CN 1858898 A CN1858898 A CN 1858898A
Authority
CN
China
Prior art keywords
trench
dielectric layer
structure according
manufacture method
isolation structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006100791003A
Other languages
English (en)
Other versions
CN100424850C (zh
Inventor
郑培仁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Macronix International Co Ltd
Original Assignee
Macronix International Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Macronix International Co Ltd filed Critical Macronix International Co Ltd
Publication of CN1858898A publication Critical patent/CN1858898A/zh
Application granted granted Critical
Publication of CN100424850C publication Critical patent/CN100424850C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • H01L21/76235Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls trench shape altered by a local oxidation of silicon process step, e.g. trench corner rounding by LOCOS

Abstract

一种浅渠沟隔离结构的制造方法,包括在硅基底上蚀刻出多个渠沟,而渠沟具有一个壁部、一底板部和连接壁部和底板部的一角落部。此浅渠沟隔离结构的制造方法还包括在渠沟内均厚地沉积一介电层。此介电层覆盖至少部分的壁部、底板部和角落部。此浅渠沟隔离结构的制造方法还包括将介电层氧化。沉积在角落部之上的介电层的部分乃是以第一氧化速率氧化,且沉积在壁部之上的介电层的部分乃是以第二氧化速率氧化。第一氧化速率小于第二氧化速率。此浅渠沟隔离结构的制造方法还包括将一电性绝缘材料沉积到渠沟内的介电层之上。

Description

浅渠沟隔离结构的制造方法以及半导体结构
技术领域
本发明乃是关于一种集成电路制造技术,特别是关于一种形成集成电路元件中所采用的改进浅渠沟隔离结构。
背景技术
在集成电路制造领域中,在追求更小的集成电路尺寸的潮流下,已能够在一般基底上封装出更高密度、更小的电子元件。在集成电路的应用领域中,常使用的电子元件包括有主动元件(active device)如电晶体等,以及被动元件(passive device)如电阻和电容器等。一般来说,高密度封装的电子元件的可靠性乃是部分地取决于是否能够为集成电路中的相接元件提供足够的电性隔离。
习知的一种为相接的元件提供电性隔离的方法是形成硅局部氧化(local oxidization of silicon,LOCOS)结构。典型的LOCOS结构的形成方法是将不可氧化的罩幕(mask)如氮化硅(Si3N4)沉积在空白硅晶圆(blanksilicon wafer)上。用微影法将罩幕形成图案,然后在被暴露的硅表面部分(利用蚀刻技术)上形成二氧化硅(SiO2)膜。该氧化膜的作用是将形成于基底的一部份上的元件电性隔离,其中基底上的此部份残留有未氧化的罩幕。
另一种习知的将相接元件电性隔离的方法是在基底上的元件之间形成浅渠沟隔离(shallow trench isolation,STI)结构。典型的STI结构形成方法是在将要形成电子元件的区域之间的基底上蚀刻出浅渠沟,然后将这些浅渠沟注满绝缘材料,如二氧化硅或其他介电材料。当浅渠沟被注满后,采用化学机械研磨(chemical mechanical polish,CMP)技术而平坦化晶圆表面,而使绝缘材料保留在浅渠沟中,其中浅渠沟的顶面与暴露的基底的顶面切齐。被“埋入”的绝缘材料为形成于暴露基底之内或之上的相接元件之间提供电性隔离。一般用于注满STI浅渠沟的材料是氧化物,其可采用高密度电浆的化学气相沉积(high density plasma chemical vapordeposition,HDP-CVD)技术而沉积一层该氧化物薄膜。
尽管LOCOS结构在某些应用中能够提供有效的电性隔离,但是LOCOS技术仍存在严重的局限。例如,LOCOS结构在基底表面上耗费大量的硅的主动面积,而减少了可用于形成电子元件的面积。此外,由于LOCOS结构具有不平的表面轮廓(topology),对其制程的产出量(process yield)和后续的基底处理制程的复杂度都会产生不利影响。还有,在习知的LOCOS方法中,氮化硅罩幕下面的硅容易发生横向氧化(lateral oxidation),而在已经形成的氧化层边缘形成“鸟嘴(brid’s beak)”结构。
同样地,习知的STI技术也存在一些缺陷。例如,随着STI渠沟宽度的减少,填注渠沟的绝缘材料的宽度也相对地减少。如果填入渠沟的绝缘材料造成过高的埋置应力(embedded stress)而使硅晶格产生差排(dislocation),便会有额外的漏电流产生也使得此绝缘材料能够提供有效电性隔离的能力随的降低。特别是,使用各种习知技术所形成的宽度小于大约1800的STI渠沟,其提供的相接元件之间电性隔离的能力将严重下降。差排通常是在STI渠沟结构的形成过程中,由施加在基底和/或绝缘材料上的机械或热应力所造成的。
如上所述,由于填充渠沟的绝缘材料的埋置应力产生差排导致STI渠沟所提供的充分电性隔离的能力受到损害。此差排通常是由于STI渠沟结构所导致的机械和热应力造成的。图1是习知STI结构的剖面示意图,从图中可以看出机械和热应力所造成的影响。图1中所示的结构包括硅基底100,其具有形成于其上的二氧化硅层102和氮化硅层104。蚀刻出一渠沟108并穿透氧化物和氮化物层而进入基底100。利用习知的氧化技术,例如干的或湿的热氧化法以在渠沟108表面上形成侧壁氧化层106。当其后渠沟108填注满绝缘材料时(例如使用高密度电浆-化学气相沉积法(HDP-CVD)技术),此时侧壁氧化层106将有助于减少绝缘层内的应力。
图1所示的习知STI渠沟结构的实施例具有许多缺点。例如,氧化物侵蚀(oxide encroachment)结构107,通常也被称为“鸟嘴(bird’s beak)”结构,其形成于当H2O与O2扩散到硅基底100和二氧化硅层102之间的介面时。侵蚀结构107是使元件活性面积(active area)缩小而致特性损失的原因之一。此外,STI渠沟底部的尖锐角落110也将导致填注渠沟108的绝缘材料内产生很高的埋置应力,这是差排所产生的原因之一。在某些制程条件中,渠沟的角落110处的蚀刻略深于渠沟108的中心,使得角落110更为尖锐,尤其是在形成侧壁氧化层106之后。如此,将更进一步地增加了埋置应力。如上所述,这些埋置应力所造成的差排将导致漏电电流,进而降低了邻近的被渠沟108所分隔的主动元件的可靠性。
降低STI渠沟填充绝缘材料的埋置应力的方法之一是继续再沉积一层具有张应力(tensile stress)和压应力(compressive stress)的另一层绝缘材料。理论上这另一层绝缘材料会平衡整个绝缘体中的整体结构应力。然而,在实际制程中,若要采用此一技术而将结构应力降低到能够使整个绝缘体中的埋置应力有效地减少是非常困难或者是不可能的。此外,由于沉积了多层绝缘材料,对后续的用于提供集成电路的平坦表面的化学机械研磨(CMP)技术处理的精确控制将变得十分困难。
降低STI渠沟填充绝缘材料内埋置应力的另一方法是在填充渠沟後进行高温退火(anneal)。退火的目的是减少机械应力并由此降低沉积在渠沟内的绝缘材料的差排密度。然而,在隔离渠沟的表面上具有侧壁氧化层106的结构中,此退火步骤将会产生不利地该侧壁氧化层106的热应力,这种热应力又是产省绝缘材料差排的另一原因。
发明内容
由于上述原因,本发明提供了一种用以电性隔离在普通半导体基底上的高密度封装元件的技术方法。在某些实施例中,STI结构提供一种已修正的圆形轮廓,可降低在渠沟角落处由于应力所引起的绝缘材料的差排密度。绝缘材料的差排密度的降低可为STI结构提供了已修正的电性隔离特性。
根据本发明一实施例所述的浅渠沟隔离结构的制造方法:包括下列数个步骤。首先,在硅基底上蚀刻出多个渠沟,其中这些渠沟具有一壁部、一底板部和一角落部,而角落部连接壁部和底板部。此浅渠沟隔离结构的制造方法还包括均厚地将介电层沉积于渠沟内。此介电层覆盖至少部分的壁部、至少部分的底板部和至少部分的角落部。此浅渠沟隔离结构的制造方法还包括将此介电层氧化。介电层的一部分沉积于角落部之上并以第一氧化速率氧化,且介电层的一部分沉积于壁部之上并以第二氧化速率氧化,其中第一氧化速率小于第二氧化速率。此浅渠沟隔离结构的制造方法还包括将一种电介绝缘材料沉积在渠沟内的介电层之上。
根据本发明另一实施例所述的另一种浅渠沟隔离结构的制造方法,包括下列数个步骤:首先,在基底上蚀刻出渠沟。此浅渠沟隔离结构的制造方法还包括在渠沟内形成一介电层。此介电层包括一渠沟壁部、一渠沟底板部和一渠沟角落部,其中渠沟角落部于一尖角而连接于渠沟壁部和渠沟底板部。此浅渠沟隔离结构的制造方法还包括将介电层氧化,其中渠沟壁部以高于渠沟角落部的速度氧化,从而将渠沟角落部由尖角改变为圆角。
根据本发明的又一实施例所述的一种半导体结构,包括一硅基底,其上具有已蚀刻的渠沟。此渠沟具有一上壁部、一底板部和一角落部,其中角落部连接上壁部和底板部。此半导体结构还包括一氧化层,形成于上壁部、底板部和角落部上,而此氧化层具有邻接于渠沟的上壁部的第一厚度和在渠沟的角落部之上的第二厚底,其中第二厚度小于第一厚度。此半导体结构还包括一电性绝缘材料,位于渠沟之内并在氧化层之上。此氧化层位于电性绝缘材料和硅基底之间。
为让本发明的上述和其他目的、特征和优点能更明显易懂,下文特举较佳实施例,并配合所附图式,作详细说明如下。
附图说明
本发明的已修正的STI技术和结构如附图所示,这些附图仅起说明作用。附图由以下各图组成,图中相同的附图标记代表相同的部件。
图1是习知的STI结构的剖面示意图。
图2绘示为本发明较佳实施例的氮化层均厚地沉积于在STI渠沟上的剖面示意图。
图3绘示为执行现场蒸汽产生氧化制程之后的图2所示的STI渠沟的下角落部的局部放大图。
图4是利用一实施例所形成的STI结构的一部分的剖面照片。
图5是利用一实施例所形成的STI结构的剖面照片。
图6绘示为本发明较佳实施例的形成具有降低差排密度的绝缘材料的STI渠沟的方法的流程图。
100:基底                    102:二氧化硅层
104:氮化硅层                106:侧壁氧化层
107:氧化物侵蚀结构          108:渠沟
110:角落                    200:基底
202:氧化层                  204:氮化硅层
206、306:侧壁氧化层         208、308:渠沟
212:氮化硅层                t1、t2:厚度
400、402、404、406、408:操作方块
具体实施方式
根据上述,本发明提出了一种降低STI渠沟结构中沉积的绝缘材料的缺陷密度的方法。如图2所示的实施例,蚀刻出STI渠沟208,其穿过氮化硅层204、氧化层202而进入基底200。在一实施例中,基底200是具有<100>结晶方向(crystallographic orientation)的硅基底。然而,在另一实施例中,基底包括其他类型的半导体基底,如绝缘体上的硅(silicon-on-insulator,SOI)基底。在一实施例中,氧化层202包括藉由热生长技术所形成的二氧化硅层,其具有大约20到大约300的厚度。在一实施例中,氮化硅层204具有大约100到大约2000的厚度。在另一实施例中,氮化硅层可被其他适宜的材料所取代。
STI渠沟208的深度乃是部分地取决于被该渠沟所隔离的元件类型。例如,在快闪记忆体(flash memory)的应用设备中,渠沟通常介于大约2500到大约4500之间。为了分隔逻辑装置(例如为金属氧化半导体(MOS)的电晶体),其渠沟通常介于大约2000到大约4000之间。在一实施例中,可藉由非等向性蚀刻(anisotropic etching)法而形成渠沟,例如为一反应性离子蚀刻(reactive ion etching)法,至于其他蚀刻法则用于其他实施例。
然后,在蚀刻渠沟208上均厚地(conformally)沉积一层薄的、均厚的氮化硅层212。在一实施例中,均厚的氮化硅层212具有大约25到大约300之间的厚度,在另一实施例中,均厚的氮化硅层212具有大约30到大约200之间的厚度,在又一实施例中,均厚的氮化硅层212具有大约50到大约150之间的厚度,在一实施例中,均厚的氮化硅层212具有大约75到大约125之间的厚度。在一实施例中,氮化硅层212具有大约均一厚度,顺着渠沟208的形状盖于渠沟208的直立、水平部及角落部。
在这些实施例中,然后,藉由现场蒸汽产生(in situ steam generation,ISSG)氧化技术而选择性地将氮化硅层212氧化,其中能够进行ISSG氧化制程的处理工具(processing tool)例如使用应用材料公司(在SantaClara,CA)于市场上所推出的Centura5000系统。在ISSG技术中,渠沟208底部角落上的氮化硅与渠沟208中其他部分(直立和水平部分)的氮化硅相比,具有相对较低的氧化速率。因此,在氧化渠沟208的底部角落的氮化硅所使用的时间中,不仅渠沟208的其他部分的氮化硅也会被氧化,而且位于其下的硅基底200也会部分地氧化。由于ISSG氧化技术以不同的速率而将渠沟208中不同的表面氧化,因此在渠沟208中可产生不同厚度的侧壁氧化层,如图3所示。特别是,图3绘示为藉由ISSG氧化技术所产生的均厚氮化硅层212在渠沟208的直立和水平部具有相对较大的厚度t1,而在渠沟208的角落部具有相对较小的厚度t2。
表A中显示了在STI渠沟中的不同部分的氮化硅沉积和氧化(采用ISSG法)的相对速率。
表A
  STI渠沟的局部轮廓(local topology)   SiN沉积速率(flat=1.00)   ISSG氧化速率(flat=1.00)
  平坦(渠沟侧部和底部)   1.00   1.00
  外弧线(渠沟顶部角落)   1.00   0.98
  内弧线(渠沟底部角落)   1.00   0.25
如表A所示,尽管均厚氮化硅层212在整个基底上以均一速率沉积,但是ISSG技术使该均厚氮化硅层212能够根据局部轮廓而以不同的速率氧化。特别是在提供表A数据的一实施例中,位于STI渠沟底部角落的氮化硅的氧化速率要比STI渠沟结构其他部分的氮化硅的氧化速率要慢四倍。表A中的数据是藉由穿透式电子显微技术(TEM)而得。
在一个实施例中,ISSG的氧化技术使用大约1%到大约50%之间的氢气百分比(%H2),在一实施例中,ISSG氧化技术使用大约5%到大约33%之间的氢气百分比(%H2),在一个实施例中,ISSG氧化技术使用大约10%到大约25%之间的氢气百分比(%H2)。氢气百分比%H2乃是由以下的公式所定义:
%H2=(H2流速)×(H2流速+O2流速)-1
藉由在STI渠沟内形成可变厚度的氮化硅层,使得在STI渠沟中提供了一已修正的侧壁氧化层206。如图3所示,与图1所示的习知STI渠沟的尖锐角落相比,已修正的侧壁氧化层206具有一圆角落。当绝缘材料沉积于已修正的侧壁氧化层206之后,其结果由于圆角落的缘故以降低绝缘材料内的机械应力,以降低绝缘材料内的差排密度。
图4是利用在此所揭露的某些实施例所形成的STI渠沟308的部分的照片。照片显示已修正的侧壁氧化层306具有在渠沟壁平坦部的第一厚度t1和渠沟角落部的第二厚度t2,其中第一厚度t1>第二厚度t2。类似结构亦显示于图5中。图4和图5所示的相片分别是使用穿透式电子显微镜及扫描电子显微镜(SEM)所得到的。
本发明所揭露的技术的一个实施例绘示于如图6的流程图。在这些实施例中,在一操作方块400内,渠沟被蚀刻而穿过氮化物和氧化物层并进入其下的基底。然后在操作方块404中,采用ISSG技术而将均厚沉积的氮化硅层氧化。在一实施例中,对ISSG技术进行微调,而使氮化硅根据局部轮廓而以非对称的氧化速率氧化,如此,STI渠沟底部角落的氮化硅将比其他部分的氮化硅氧化得慢。这样将导致STI渠沟的角落周围产生较薄的氧化。在这些实施例中,这种非对称的氧化导致在STI渠沟中所形成的已修正的侧壁氧化层具有圆滑的底部角落。然后,在操作方块406中,沉积绝缘材料于渠沟内。接着,在操作方块408中,可选择性地进行化学机械研磨(CMP)技术处理,以便提供平坦的上表面。
相较于各个习知的STI渠沟的形成技术,本发明所揭露的许多实施例具有许多优点,其能够形成更窄的渠沟,而不会牺牲能够提供有效电性隔离的能力。特别是某些实施例中,能够形成具有降低了缺陷密度的STI结构。这些实施例包括圆形侧壁氧化层,其可降低用于填充渠沟的绝缘材料内的结构应力。
虽然本发明已以较佳实施例揭露如上,然其并非用以限定本发明,任何熟习此技艺者,在不脱离本发明的精神和范围内,当可作些许的更动与润饰,因此本发明的保护范围当视权利要求所界定者为准。

Claims (29)

1.一种浅渠沟隔离结构的制造方法,包括:
在一硅基底上蚀刻出多数个渠沟,其中该些渠沟具有一壁部、一底板部和一角落部,而该角落部连接于该壁部和该底板部;
均厚地沉积一介电层于该些渠沟内,其中该介电层覆盖至少部分的该壁部、至少部分的该底板部和至少部分的该角落部;
氧化该介电层,其中该介电层的一部份沉积于该角落部之上并以一第一氧化速率氧化,且该介电层的一部分沉积于该壁部之上并以一第二氧化速率氧化,其中该第一氧化速率小于该第二氧化速率;以及
沉积一电性绝缘材料在该些渠沟内的该介电层之上。
2.根据权利要求1所述的浅渠沟隔离结构的制造方法,其中氧化该介电层的步骤包括:藉由一现场蒸汽产生氧化制程,其利用大约1%到大约50%之间的氢气百分比(%H2)。
3.根据权利要求1所述的浅渠沟隔离结构的制造方法,其中氧化该介电层的步骤包括:执行一现场蒸汽产生氧化制程。
4.根据权利要求1所述的浅渠沟隔离结构的制造方法,其中该第一氧化速率是介于该第二氧化速率的大约20%到大约30%之间。
5.根据权利要求1所述的浅渠沟隔离结构的制造方法,更包括氧化该硅基底的一部分,其中该硅基底的该部分乃是邻接于该渠沟的该壁部。
6.根据权利要求1所述的浅渠沟隔离结构的制造方法,其中该电性绝缘材料是二氧化硅。
7.根据权利要求1所述的浅渠沟隔离结构的制造方法,其中该电性绝缘材料是藉由一高密度电浆的化学气相沉积制程而沉积的。
8.根据权利要求1所述的浅渠沟隔离结构的制造方法,在沉积该电性绝缘材料于该些渠沟内的步骤之后,更包括进行一化学机械研磨制程。
9.根据权利要求1所述的浅渠沟隔离结构的制造方法,其中该硅基底具有<100>结晶方向。
10.根据权利要求1所述的浅渠沟隔离结构的制造方法,其中蚀刻该些渠沟的步骤包括蚀刻并穿越形成于该硅基底上的一介电层。
11.根据权利要求1所述的浅渠沟隔离结构的制造方法,其中该渠沟具有介于大约2000到大约4500之间的厚度。
12.根据权利要求1所述的浅渠沟隔离结构的制造方法,其中该介电层是氮化硅。
13.根据权利要求1所述的浅渠沟隔离结构的制造方法,其中该介电层具有介于大约25到大约300之间的厚度。
14.根据权利要求1所述的浅渠沟隔离结构的制造方法,其中该介电层具有介于大约30到大约200之间的厚度。
15.一种浅渠沟隔离结构的制造方法,包括:
在一基底上蚀刻出一渠沟;
在该渠沟内形成一介电层,而该介电层包括一渠沟壁部、一渠沟底板部和一渠沟角落部,其中该渠沟角落部于一尖角而连接于该渠沟壁部与该渠沟底板部;以及
氧化该介电层,其中该渠沟壁部的氧化速率大于该渠沟角落部的氧化速率,从而将该渠沟角落部由该尖角改变为一圆角。
16.根据权利要求15所述的浅渠沟隔离结构的制造方法,其中蚀刻出该渠沟的步骤包括蚀刻并穿过形成于该基底之上的一介电层。
17.根据权利要求15所述的浅渠沟隔离结构的制造方法,其中该介电层是一氮化硅层。
18.根据权利要求15所述的浅渠沟隔离结构的制造方法,其中该介电层具有介于大约50到大约150之间的厚度。
19.根据权利要求15所述的浅渠沟隔离结构的制造方法,其中该介电层具有介于大约75到大约125之间的厚度。
20.根据权利要求15所述的浅渠沟隔离结构的制造方法,其中该渠沟角落部的氧化速率乃是介于该渠沟壁部的氧化速率的大约20%到大约30%之间。
21.根据权利要求15所述的浅渠沟隔离结构的制造方法,其中氧化该介电层的步骤包括藉由一现场蒸汽产生氧化制程,其利用介于大约5%到大约33%之间的氢气百分比(%H2)。
22.根据权利要求15所述的浅渠沟隔离结构的制造方法,更包括沉积一电性绝缘材料到该渠沟内并在已氧化的该介电层之上。
23.根据权利要求15所述的浅渠沟隔离结构的制造方法,更包括:
沉积一电性绝缘材料到该渠沟内并在已氧化的该介电层之上;以及
进行一化学机械研磨制程。
24.一种半导体结构,其包括:
一硅基底,具有已蚀刻的一渠沟在该硅基底内,而该渠沟具有一上壁部、一底板部和一角落部,其中该角落部连接该上壁部与该底板部;
一氧化层,形成于该渠沟的该上壁部、该底板部和该角落部上,而该氧化层具有邻接于该渠沟的该上壁部的一第一厚度,以及在该渠沟的该角落部之上的一第二厚度,其中该第二厚度小于该第一厚度;以及
一电性绝缘材料,位于该渠沟内与该氧化层之上,使得该氧化层位于该电性绝缘材料和该硅基底之间。
25.根据权利要求24所述的半导体结构,更包括形成于该硅基底之上的一介电层,使得该渠沟可蚀刻并穿过该介电层。
26.根据权利要求24所述的半导体结构,更包括形成于该硅基底之上的一介电层,使得该渠沟可蚀刻并穿越该介电层,其中该介电层的材质包括氮化硅。
27.根据权利要求24所述的半导体结构,其中该渠沟具有介于大约2000到大约4500之间的深度。
28.根据权利要求24所述的半导体结构,其中该氧化层具有介于大约150到大约50之间的厚度。
29.根据权利要求24所述的半导体结构,其中该电性绝缘材料是二氧化硅。
CNB2006100791003A 2005-05-05 2006-04-29 浅沟槽隔离结构的制造方法以及半导体结构 Active CN100424850C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/122,774 2005-05-05
US11/122,774 US7915173B2 (en) 2005-05-05 2005-05-05 Shallow trench isolation structure having reduced dislocation density

Publications (2)

Publication Number Publication Date
CN1858898A true CN1858898A (zh) 2006-11-08
CN100424850C CN100424850C (zh) 2008-10-08

Family

ID=37297786

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006100791003A Active CN100424850C (zh) 2005-05-05 2006-04-29 浅沟槽隔离结构的制造方法以及半导体结构

Country Status (2)

Country Link
US (1) US7915173B2 (zh)
CN (1) CN100424850C (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111952249A (zh) * 2019-05-15 2020-11-17 美光科技公司 形成半导体结构的方法
TWI730083B (zh) * 2016-07-06 2021-06-11 美商Asm Ip控股公司 形成填入溝槽且無接縫或空隙的膜的方法

Families Citing this family (299)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
TWI685061B (zh) * 2016-05-04 2020-02-11 聯華電子股份有限公司 半導體元件及其製作方法
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5087586A (en) 1991-07-03 1992-02-11 Micron Technology, Inc. Process for creating fully-recessed field isolation regions by oxidizing a selectively-grown epitaxial silicon layer
US5492858A (en) 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
US5447884A (en) 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
US5719085A (en) * 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5702976A (en) 1995-10-24 1997-12-30 Micron Technology, Inc. Shallow trench isolation using low dielectric constant insulator
TW389999B (en) 1995-11-21 2000-05-11 Toshiba Corp Substrate having shallow trench isolation and method of manufacturing the same
US6037238A (en) 1999-01-04 2000-03-14 Vanguard International Semiconductor Corporation Process to reduce defect formation occurring during shallow trench isolation formation
US6297128B1 (en) 1999-01-29 2001-10-02 Vantis Corporation Process for manufacturing shallow trenches filled with dielectric material having low mechanical stress
US6027982A (en) 1999-02-05 2000-02-22 Chartered Semiconductor Manufacturing Ltd. Method to form shallow trench isolation structures with improved isolation fill and surface planarity
US6368941B1 (en) * 2000-11-08 2002-04-09 United Microelectronics Corp. Fabrication of a shallow trench isolation by plasma oxidation
US6503815B1 (en) 2001-08-03 2003-01-07 Macronix International Co., Ltd. Method for reducing stress and encroachment of sidewall oxide layer of shallow trench isolation
US6518148B1 (en) 2001-09-06 2003-02-11 Taiwan Semiconductor Manufacturing Company, Ltd Method for protecting STI structures with low etching rate liners
US6657276B1 (en) 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US6777308B2 (en) * 2002-05-17 2004-08-17 Micron Technology, Inc. Method of improving HDP fill process
KR100523648B1 (ko) * 2003-12-31 2005-10-24 동부아남반도체 주식회사 반도체소자의 소자 분리 방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI730083B (zh) * 2016-07-06 2021-06-11 美商Asm Ip控股公司 形成填入溝槽且無接縫或空隙的膜的方法
CN111952249A (zh) * 2019-05-15 2020-11-17 美光科技公司 形成半导体结构的方法

Also Published As

Publication number Publication date
CN100424850C (zh) 2008-10-08
US7915173B2 (en) 2011-03-29
US20060252228A1 (en) 2006-11-09

Similar Documents

Publication Publication Date Title
CN1858898A (zh) 浅渠沟隔离结构的制造方法以及半导体结构
TWI644395B (zh) Semiconductor device and method of manufacturing same
KR100322531B1 (ko) 파임방지막을 이용하는 반도체소자의 트랜치 소자분리방법 및이를 이용한 반도체소자
JPS62269335A (ja) 半導体デバイスの製造方法
KR101062293B1 (ko) 반도체 소자 및 그의 제조방법
US8304322B2 (en) Methods of filling isolation trenches for semiconductor devices and resulting structures
JPH11163120A (ja) 半導体素子の素子隔離層形成方法
KR100543455B1 (ko) 반도체 소자의 소자분리막 형성방법
US20020048897A1 (en) Method of forming a self-aligned shallow trench isolation
JP4064732B2 (ja) 半導体装置
KR100366614B1 (ko) 티형 트렌치 소자분리막 형성방법
JP3547279B2 (ja) 半導体装置の製造方法
JPS5898943A (ja) 半導体装置の製造方法
KR101077014B1 (ko) 반도체 소자의 소자분리막 제조방법
US6040233A (en) Method of making a shallow trench isolation with thin nitride as gate dielectric
KR100305144B1 (ko) 반도체장치의 sti형 소자분리막 형성방법
US20090117705A1 (en) Method of forming isolation layer of semiconductor memory device
CN1622309A (zh) 隔离半导体元件的方法
KR19990085853A (ko) 어닐링을 이용한 트랜치형 소자분리막 형성방법
KR100677990B1 (ko) 반도체 소자의 제조 방법
KR100344765B1 (ko) 반도체장치의 소자격리방법
KR100519511B1 (ko) 반도체장치의 소자분리막 형성방법
JP3609660B2 (ja) 半導体装置の製造方法及び半導体装置
KR20000004748A (ko) 반도체장치의 소자분리막 형성방법
KR980012255A (ko) 반도체장치의 소자분리 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant