CN1592959A - 在乏硅环境下使用等离子增强化学气相沉积制程的金属栅极的氮氧间隔体的形成方法 - Google Patents

在乏硅环境下使用等离子增强化学气相沉积制程的金属栅极的氮氧间隔体的形成方法 Download PDF

Info

Publication number
CN1592959A
CN1592959A CNA02823443XA CN02823443A CN1592959A CN 1592959 A CN1592959 A CN 1592959A CN A02823443X A CNA02823443X A CN A02823443XA CN 02823443 A CN02823443 A CN 02823443A CN 1592959 A CN1592959 A CN 1592959A
Authority
CN
China
Prior art keywords
gate electrode
metal gate
silicon
silicon oxynitride
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA02823443XA
Other languages
English (en)
Other versions
CN100355043C (zh
Inventor
M·V·恩戈
A·哈里亚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of CN1592959A publication Critical patent/CN1592959A/zh
Application granted granted Critical
Publication of CN100355043C publication Critical patent/CN100355043C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Composite Materials (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供一种包含一金属栅极电极(110)的半导体装置(100),以及制造该半导体装置(100)的方法,其中,该半导体装置(100)包含:一半导体基板(102);一金属栅极电极(110);和形成于金属栅极电极(110)表面上的一氮氧化硅间隔体(114),其中,在氮氧化硅间隔体(114)和金属栅极电极(110)间的界面上实质上不会有金属硅化物;该制程的步骤包含:在半导体基板上形成金属栅极电极;以PECVD在金属栅极电极表面上形成氮氧化硅间隔体,其中,在初始为乏硅条件下,形成氮氧化硅间隔体,因此实质上不会形成硅化物;其中在该乏硅条件中,提供第一供应量的至少一含硅材料给PECVD设备,并将该第一供应量根据至少一其它反应物的量而相应降低。

Description

在乏硅环境下使用等离子增强化学气相沉积制程的金属栅极的氮 氧间隔体的形成方法
技术领域
本发明涉及半导体装置的制造方法,尤其关于半导体装置的金属栅极上的介电层的制造方法。
背景技术
随着半导体装置变得越来越复杂,在装置上也需要越来越多数量的晶体管。此外,在提高装置速度的同时,必须降低其功耗。为解决这些问题,每个晶体管所占据的区域已被大幅地缩小。然而,它可能会对一个以上的其它需求有不利的影响。举例而言,当晶体管尺寸被缩小时,栅极结构也会被缩小,而引起栅极电阻的提高。因而,功率消耗量会增加,而装置的速度可能会被降低。
过去已做了许多努力以降低栅极结构的表面电阻率(sheetresistivity)。例如,在一段时期,曾对多晶硅掺杂更多的n型或p型掺杂物。在另一段时期,则通过多晶硅和钨或钛间的反应,在栅极的上部形成硅化物。在后来,则使用硅化钴以降低尺寸越来越小的结构的电阻率。而目前,则引进金属栅极电极,并将它用在许多应用上。
金属栅极电极可提供实质上和栅极宽度无关的较低的表面电阻率。然而,许多金属栅极材料在能够应用到标准半导体制程流程中之前,需先克服一些问题。其中一个问题是,许多金属在邻接硅时并不安定,而硅正是通常用以形成栅极介电层的物质,亦即,如二氧化硅或氮氧化硅。相对于二氧化硅而言,氮氧化硅可形成防止金属原子迁移的更好的阻障,因此可用作金属栅极电极上形成的介电质间隔体(spacer)或衬套(liner)。
由于许多金属在其各自的金属硅化物(由金属和硅间的反应所形成)的形式下,会具有较低的导电性,因此如果在形成氮氧化硅间隔体后导致金属硅化物的形成,金属作为栅极材料的优势可能会消失或被抵销。由于金属栅极电极的尺寸越来越小,如果金属栅极电极的任何部分转换成导电性较差的金属硅化物,则金属栅极电极作为导体的效果便会降低。
因而,需要一种方法,其可在金属栅极电极上形成氮氧化硅介电层,同时在金属栅极电极和氮氧化硅介电层之间,不会或实质上不会形成硅化物。
发明内容
本发明涉及一种包含金属栅极电极的半导体装置的制程,其包括:提供一半导体基板;在该半导体基板上形成一金属栅极电极;用等离子增强化学气相沉积(PECVD,plasma-enhanced chemical vapordeposition)在金属栅极电极的表面上,形成一氮氧化硅间隔体,其中该氮氧化硅间隔体在初始为乏硅条件下形成。在该乏硅条件中,供应给PECVD设备的至少一种含硅材料的供应量,根据至少一其它反应物的供应量而相应减少,藉此,在金属栅极电极和氮氧化硅间隔体间的界面上,实质上没有硅化物形成。
在另一个具体实施例中,本发明涉及一种包含金属栅极电极的半导体装置,其包括:一半导体基板;一金属栅极电极;形成于该金属栅极电极表面上的一氮氧化硅间隔体,其中在第一层和金属栅极电极的界面上实质上无金属硅化物。
因此,本发明能够克服在金属栅极电极上形成介电层时所产生的问题,而不会形成金属硅化物。
附图说明
图1为场效应晶体管的概略剖视图,其中该场效应晶体管包含本发明之一具体实施例的金属栅极电极和氮氧化硅间隔体;
图2为本发明之一具体实施例的其上形成有栅极介电层的半导体基板的概略剖视图;
图3为本发明之一具体实施例的其上形成有金属栅极成形层的半导体基板的概略剖视图;
图4为本发明之一具体实施例的半导体基板的概略剖视图,其中在该半导体基板上,已移除金属栅极成形层和栅极介电层的部分而形成金属栅极电极;
图5为本发明之一具体实施例的其上形成有氮氧化硅层的半导体基板的概略剖视图;以及
图6为制造本发明的半导体装置的基本步骤的概略流程图。
具体实施方式
此处使用的名词“金属栅极”或“金属栅极电极”,是指在金属氧化物半导体场效应晶体管(MOSFET,metal-oxide-semiconductorfield effect transistor)或其它半导体装置中的栅极或任何其它金属结构,由例如为钼(Mo)、镍(Ni)、钽(Ta)、铝(Al)、钴(Co)、铜(Cu)、铗(Re)、钛(Ti)或钨(W)或其中二种以上金属的混合物或合金的金属所形成。该金属也可包含一种以上的氮化钽(TaN)、氮硅化钽(TaSiN)、氮化钨(WN)、氮硅化钨(WSiN)、以及前述金属的类似导电氮化物。正如此处所述,该金属栅极电极是采用制造此类结构的领域的任何已知方法来形成。需认清的是,虽然在此处以金属栅极电极来描述本发明,但本发明并非限定于此,而可将本发明的范围延伸至在其上形成可减少或避免硅化物形成的氮氧化硅层的任何金属结构。
此处所用的名词“氮氧化硅”指包含硅、氧、氮、以及也许也包含氢的介电质材料。氢的存在与否依据形成条件而定。氮氧化硅的分子式可依据它形成过程中的若干因素而有所变化,将在以下做更详细的讨论。
半导体装置
在下文中,将就一常见的半导体装置,具体而言为在基板上形成的MOSFET,来描述本发明。本发明在MOSFET的一具体实施例如第1图所示。然而,本发明并非仅限于该例示的具体实施例,也可适用于任何其中使用到金属栅极电极的半导体装置。例如,在场效应晶体管(FET)中、在金属浮闸电极EEPROM中、或在SONOS式闪存装置(例如,Mirror-BitTM SONOS式闪存装置,由先进微装置公司(AMD,AdvancedMicro Devices,Sunnyvale,California)提供)中的金属栅极电极。因此,需了解,本发明并非限定于下述例示的具体实施例。
本发明涉及一种半导体装置以及一种制造该半导体装置的制程,其中,该半导体装置包含一半导体基板、一金属栅极电极、和形成于该金属栅极电极表面上的一氮氧化硅间隔体。其中,在金属栅极电极和氮氧化硅之间所形成的界面上,实质上没有由形成氮氧化硅间隔体的硅与形成金属栅极电极的金属间的反应所形成的硅化物。
因此,在第一具体实施例中,本发明涉及一种半导体装置,它具有在其上形成有氮氧化硅间隔体的金属栅极电极。该半导体装置包含一半导体基板,一金属栅极电极,和一氮氧化硅间隔物。
在一具体实施例中,金属栅极电极的表面上实质上没有金属硅化物。在另一具体实施例中,金属栅极电极实质上没有由形成氮氧化硅间隔体的硅与形成金属栅极电极的金属间的反应所形成的金属硅化物。在另一具体实施例中,在金属栅极电极和氮氧化硅间隔体间的界面上,没有含有任何由形成金属栅极电极的金属与形成氮氧化硅间隔体的硅间的反应所形成的硅化物。
图1为MOSFET 100的示意性剖视图。MOSFET 100包含,例如,p掺杂的硅基板102、n掺杂的源极区104、n掺杂的漏极区106、栅极介电质108、金属栅极电极110、信道区112、和形成于该金属栅极电极110之上的氮氧化硅间隔体114。
在图1中并未显示一可操作的半导体装置中的其它部分,例如电导体、保护层、以及其它会包含于一完整、可操作的半导体装置中的其它部分。这些其余的部分不是本发明所必须的,因此为了简化,将不会显示或者描述这些部分。然而,本领域技术人员应会了解,本发明能够轻易地加入这些其余的部分。
金属栅极电极的金属可为该领域中已知可使用为半导体装置的金属栅极电极的任何金属。在一具体实施例中,金属栅极电极包括钼(Mo)、镍(Ni)、钽(Ta)、铝(Al)、钴(Co)、铜(Cu)、铗(Re)、钛(Ti)或钨(W)或其中二种以上金属的混合物或合金。此外,也可使用其它金属,例如金(Au)、铂(Pt)、钯(Pd)、铑(Rh)或银(Ag),但由于这些金属较贵,因此用于半导体装置中也许较不经济。在另一具体实施例中,该金属包含钼(Mo)、镍(Ni)或钽(Ta)其中之一。在另一具体实施例中,该金属为钼(Mo)、镍(Ni)或钽(Ta)其中之一者。在一具体实施例中,该金属可为导电的金属氮化物,例如包括氮化钽(TaN)、氮硅化钽(TaSiN)、氮化钨(WN)、氮硅化钨(WSiN)、或其它已知的导电金属氮化物中至少一种者。
氮氧化硅间隔体由氮氧化硅形成,其中,在大部分的情况中,已知氮氧化硅多少具有不确定的化学分子式。在超过约1350℃的温度中所形成或所退火的具理想配比的纯氮氧化硅的化学分子式系为Si2N20。然而,在例如包括低温、或出现有不纯物、共反应物和非理想配比量的反应物的条件下所形成的氮氧化硅,通常可考虑其化学分子式为:
                         SiwOxNyHz
其中,w、x、y和z代表在整体分子式中的原子百分比。氧和氮在式中的数量依据上述因素而变化。而氢的数量则依据:例如,是否有使用一种以上的含氢反应物、使用多少相对量的一种以上含氢反应物、以及是否有其它氢原子源(例如水)会出现在反应混合物中等因素而变化。
此处专利说明书和申请专利范围中的所有数值,可结合范围和比率的限制。
在本发明之一具体实施例中,氮氧化硅具有化学分子式
                        SiwOxNyHz
其中:
w为约20%到约56%的原子硅的范围内,
x为约5%到约40%的原子氧的范围内,
y为约10%到约40%的原子氮的范围内,
z为约0%到约10%的原子氢的范围内,以及
其中,w+x+y+z=100%的原子。
在半导体装置中,通常都想要降低诸如氮氧化硅的介电材料中所出现的氢原子的量。因为氢原子能够作用为热载流子(hot carrier),而会干扰在半导体装置中的电子的移动。因此,在本发明的一具体实施例中,将氮氧化硅间隔体中的氢的量降低到最小。在一具体实施例中,在上述的氮氧化硅的化学分子式中,z为约0.5%到约7%的原子的范围内。在一具体实施例中,z为约1%到约5%的原子的范围内。在另一具体实施例中,z为约2%到约4%的原子的范围内。
如下述的形成氮氧化硅间隔体114的制程的结果,在乏硅(starving silicon)条件下所沉积的氮氧化硅,与在非乏硅或在理想配比的硅条件下所沉积的氮氧化硅,会具有不同的理想配比组成(stoichiometry)。因此,参考上述分子式,在一具体实施例中,在乏硅条件下所沉积的氮氧化硅所具有的硅含量在其范围的下端处,也就是约20%到约30%的原子;而氧和氮的含量则在其范围的上端处,也就是约25%到约40%的原子氧,以及约30%到40%的原子氮;而氢含量则在其范围的下端处,也就是约0%到约3%的原子。
在一具体实施例中,参考上述分子式,在非乏硅条件下所沉积的氮氧化硅所具有的硅含量在其范围的中间到上端,也就是约40%到约56%的原子;氧和氮的含量则在其范围的下端到中间,也就是约5%到约25%的原子氧,以及约10%到25%的原子氮;而氢含量则依据所选择的条件和反应物,可能在其范围内的任意处。
由于氮氧化硅的可变的理想配比组成,以及氮氧化硅间隔体114具有极小厚度,因此可能难以区别氮氧化硅在层中不同深度的正确理想配比组成。若对前述的理想配比组成进行估算,则可能会有很大的变异。若通过X射线光电子分光法(X-ray photoelectronspectroscopy),则能以某种程度的正确性判定出氮氧化硅间隔体114的组成。X射线光电子分光法能够判定在厚度为几百埃(angstrom)的层中的组成差异。
氮氧化硅的折射指数会依据理想配比组成而变化是本领域的常识。因此,在一具体实施例中,在乏硅条件下所沉积的氮氧化硅间隔体114的部分的折射率在约1.6到约1.9的范围内。在另一具体实施例中,在乏硅条件下所沉积的氮氧化硅间隔体114的部分的折射率约为1.7。在另一具体实施例中,在非乏硅或理想配比的硅条件下所沉积的氮氧化硅间隔体114的部分的折射率在约1.95到约2.3的范围内。在另一具体实施例中,在非乏硅或在理想配比的硅条件下所沉积的氮氧化硅间隔体114的部分的折射率约为2.1。
在一具体实施例中,半导体基板为批次硅组件(bulk silicon)基板。在另一具体实施例中,该半导体基板为绝缘硅(silicon oninsulator)半导体基板。在另一具体实施例中,该半导体基板为p掺杂硅基板。合适的半导体基板包含诸如批次硅组件半导体基板、绝缘硅(SOI)半导体基板、蓝宝石基底硅组件(silicon on sapphire,SOS)半导体基板、以及由熟知的其它材料所形成半导体基板。本发明并不限于任何特别形式的半导体基板。
在乏硅条件下在半导体装置上制作氮氧化硅介电层的方法
本发明进一步涉及一种制作上述半导体装置的方法。因此,本发明包含一种制作半导体装置的方法,其中该半导体装置具有金属栅极电极和在金属栅极电极上的氮氧化硅介电层,在上述金属栅极电极和介电层的界面上,实质上没有由金属栅极电极的金属与用以形成介电层的硅间的反应所形成的金属硅化物。
在本发明中,氮氧化硅由PECVD制程所制造出,其中,供应给PECVD设备的硅的供应量,一开始为次理想配比量。次理想配比量的硅供应,会”耗乏(starve)”掉硅的反应,以致于不会有多余的”自由”硅可用。避免有多余的”自由”硅可避免金属栅极电极的金属与形成氮氧化硅的PECVD制程中的硅产生反应,而形成硅化物。因此正如此处更详尽的描述,一开始形成的氮氧化硅可能含有次理想配比量的硅。由于供应相对”乏硅”的硅供应量给PECVD设备,氮氧化硅的初始层的形成可能会相对较慢。
当在金属栅极电极上形成一氮氧化硅的初始层后,可提高供应给PECVD设备的硅的供应量,以提高氮氧化硅的形成速率和提高所形成的氮氧化硅层中的硅含量。
在一具体实施例中,制造具有金属栅极电极的半导体装置的方法的步骤包括:提供一半导体基板;在该半导体基板上形成一金属栅极电极;以及以PECVD在该金属栅极电极表面上形成一氮氧化硅间隔体,其中该氮氧化硅间隔体在初始为乏硅条件下形成。其中,将供应给PECVD设备的至少一含硅材料的供应量根据至少一其它反应物的供应量相应减少,以使在金属栅极电极和氮氧化硅间隔体的界面上,实质上没有硅化物形成。
在另一具体实施例中,乏硅条件包括,一开始不供应任何含硅材料,而将一含氧材料和一含氮材料供应给PECVD设备,随后,除了含氧材料和含氮材料外,再将第一供应量的至少一含硅材料供应给PECVD设备,其中,所供应的该第一供应量的至少一含硅材料,包含用于氮氧化硅的PECVD沉积中,相对于含氧材料和含氮材料的次理想配比量的硅。
本发明的方法的其它细节将在下面提出。此处提出的特定范例仅意在说明本发明,而不是用来限制本发明的范畴,本发明的范畴应由附加的权利要求来界定。
在本发明的方法的第一步骤中,如图6中的步骤S601所示,提供一半导体基板102,如图2所示。上述的半导体基板可为任何适当选择的熟知的半导体基板。
在本发明的方法的第二步骤中,如图6中的步骤S602所示,系在该半导体基板102上生长一栅极介电层108。该栅极介电层108能以熟知的方法长成,且可包含任何适合在半导体装置的金属栅极电极上使用的材料。该栅极介电层108可包括:二氧化硅、氮化硅、氮氧化硅、以及各种已知的高K介电材料中的任一种,例如氧化铪(hafniumoxide)、氧化钇(yttrium oxide)、氧化镧(lanthanum oxide),以及此类介电材料的结合物,例如硅酸铪(hafnium silicate)。合适的高K介电材料包含:氧化铪(HfO2)、氧化锆(ZrO2)、氧化钽(Ta2O5)、钛酸钡(BaTiO3)、氧化钛(TiO2)、氧化铯(CeO2)、氧化镧(La2O3)、氧化钨(WO3)、氧化钇(Y2O3)、氧化硅铋(Bi4Si2O12)、氧化锶钡(BalxSrxO3)、釱酸锶钡(BST)(BalxSrxTiO3)、PZN(PbZnxNb1-xO3),以及PST(PbScxTa1-xO3)。除了上述的高K介电质,其它高K介电材料,举例而言,例如钛酸锆铅(lead zirconium titanate)、钛酸镧铅(leadlanthanum titanate)、钽酸铋锶(strontium bismuth tantalate)、钛酸铋(bismuth titanate)、钛酸锶(strontium titanate)、钛锆酸铅(PZT(PbZrxTi1-xO3))、以及钛酸锆钡(barium zirconium titanate)等铁电(ferroelectric)高K介电材料,也可适用于本发明。此外,也可以使用熟知的其它高K介电材料。
栅极介电层108可在SONOS式装置中,包含复数层,例如二氧化硅和氮化硅,或二氧化硅、氮化硅、二氧化硅夹心状结构的”ONO”层。其中,SONOS式装置由例如AMD所制造,商标名为MIRROR-BITTM者。其中,该栅极为金属栅极电极,而此装置可指MONOS式装置。
图2显示在形成栅极介电层后,也就是在步骤S602完成后,形成中的半导体装置100。虽然在图2中仅显示单一的介电层108,但应了解,如上所述,在该栅极介电层108中可包含多数层。
在本发明的方法的第三步骤中,如图6的步骤S603所示,形成一金属栅极电极110。在图3所示的具体实施例中,通过在基板表面铺上合适金属的一金属层110来形成金属栅极电极。金属层110的部分区域随后会被蚀刻掉,以形成如图4所示的金属栅极电极110。
在一具体实施例中,该金属为上文所提出的金属其中之一,或是两种以上的这些金属的混合物或合金,或是这些金属、混合物或合金的氮化物。
在一具体实施例中,可通过例如在美国第6,066,533号专利中所述的方法,形成金属栅极电极110。该专利中所述的方法是在场氧化层(field oxide)中形成栅极空洞(void),随后以金属类栅极电极材料填充该空洞,以形成金属栅极电极。该方法包括在基板上沉积高K栅极绝缘体,以及在栅极绝缘体上沉积场氧化层。该方法包括在该场氧化层中形成至少第一和第二栅极空洞。将第一金属栅极电极沉积在该第一栅极空洞中,其中该第一栅极电极系包含一第一材料。同样地,将第二金属栅极电极沉积在该第二栅极空洞中,而第二栅极电极则包含一第二材料。依照本发明,该第二材料不同于该第一材料。在一具体实施例中,栅极绝缘体的特征在于,介电常数至少为25。在一具体实施例中,栅极绝缘体由TiO2或Ta2O5形成。在一具体实施例中,至少一金属栅极电极由包括钨(W)、钛(Ti)、氮化钛(TiN)、钽(Ta)、氮化钽、和钼(Mo)的组群中所挑选出的材料所形成。
在一具体实施例中,可通过例如在美国第6,225,168号专利中所述的方法形成金属栅极电极110。在该专利中所述的方法是在一基板上形成一栅极介电层;在该栅极介电层上形成一钛或氮化钛阻障层(barrier layer);以及在该钛或氮化钛阻障层上形成一金属栅极电极。该制程可进一步包括,形成邻接金属栅极电极侧壁(sidewall)的载氮(nitrogen bearing)间隔体,以使该间隔体和该阻障层将栅极介电层密封于基板外。在一具体实施例中,第6,225,168号专利中的制程包括在下方的金属栅极介电层和阻障层上形成一金属层。然后,以光刻法(photolithography)和蚀刻技术,将该金属层和下方的金属栅极介电层和阻障层的部分区域移除,以形成金属栅极电极。
在另一具体实施例中,第6,225,168号专利中的制程包括,通过使用一牺牲拴塞(sacrificial plug)形成金属栅极电极。如在该专利中所揭露,使用牺牲拴塞可容许利用对温度敏感的金属形成金属栅极电极。该方法在美国第6,051,487号专利中有更详尽的描述。第6,051,487号专利描述一种制程,在该制程之一具体实施例中,通过在一基板上形成一牺牲拴塞,以及在该基板上邻接该牺牲拴塞处形成作用区域(active region),而形成一半导体装置。然后,在该基板上邻接牺牲拴塞的部分上形成一薄膜。其中,该牺牲拴塞可被选择移除而留下薄膜。随后,将该牺牲拴塞选择移除,而在薄膜上留下一开口,并在该开口中形成一栅极电极。该牺牲拴塞可由数种材料形成,其中包括,诸如多晶硅和例如氮化物的载氮类。此外可由例如金属形成该栅极电极。
在另一具体实施例中,可通过例如在美国第6,162,694号专利中所述的方法,形成该金属栅极电极。此专利的制程包括,形成一基板,以及在该基板上形成一多晶硅对准结构(polysilicon alignmentstructure)。其次,在该基板上形成源极和漏极区,并使其和该对准结构对准,并将该具有源极和漏极区的对准结构和基板施以第一快速热对火。其次,在该基板上形成轻微掺杂漏极区(lightly doped drain,LDD)区,使其和该对准结构对准,并将该具有轻微掺杂漏极区的对准结构和基板施以第二快速热退火。其次,将该多晶硅对准结构替换为金属栅极电极和栅极介电质。前述的形成金属栅极电极的制程仅为例示性,而并非意欲限制本发明的范畴。本发明的金属栅极电极可由熟知的用以形成金属栅极电极的任何适合的制程形成。
图3为在一具体实施例中,在栅极介电层108上铺上一金属栅极层110后,也就是在步骤S603完成后,形成中的半导体装置100。其中,金属栅极层110是以层状形成。
图4是在将金属栅极层和下方的栅极介电层进行深蚀刻(etchback),以形成一金属栅极电极110后,形成中的半导体装置100。或者是,图4是在形成栅极的结构(例如二氧化硅层)被移除后,通过上述方法之一形成金属栅极电极110后,形成中的半导体装置100。
本发明的制程的下一步骤,如图6的步骤S604,S605,S606所示,在PECVD设备中执行。在一具体实施例中,在CVD设备中,以标准CVD制程执行这些步骤。在形成中的半导体装置100上形成金属栅极电极110后(如图4所示),装置100(或者,更广泛而言,其上形成有装置100的晶圆)会被放置于一适宜的PECVD设备中。在一具体实施例中,在形成氮氧化硅的相同PECVD设备中形成该金属栅极层,所以并不需移动该晶圆。在一具体实施例中,栅极介电层108、金属栅极层110、和氮氧化硅层114,都在相同的PECVD设备中形成。
如图6的步骤S604所示,在PECVD设备中具有形成中的半导体装置100时,在适当的温度下,将含氧气体流和含氮气体流供应至该设备中。除了含硅气体尚未出现之外,该步骤S604会建立PECVD的条件。由于在此时没有任何可得的硅供应源以供PECVD反应,因此不会发生形成氮氧化硅的反应。由于反应很容易在这些条件下发生,也就是在一提高的温度中的强氧化物气体流,因此步骤S604的条件仅维持大约10到20秒,而在一具体实施例中约15秒。在一具体实施例中,在开始供应含氧及含氮气体流前,先将PECVD设备抽气至约2陶尔(Torr)的压力。
在一具体实施例中,含氧气体为氧化亚氮(N2O)。在另一具体实施例中,含氧气体系为氧气(O2)。
在一具体实施例中,含氮气体为氮气(N2)。由于氮气也可在PECVD设备中作为载体气体(carrier gas),因而不需额外的载体气体,故使用氮气系较为有利。在另一具体实施例中,使用除了氮气外的熟知的含氮气体。此类气体可包含例如氧化氮(NO)等。
在一具体实施例中,含氮气体不是氨气。由于其氢成分,使用氨气较为不利。其中,氢成分会对氮氧化硅的氢成分造成不利的影响。
如图6所示,在下一个步骤S605中,开始供应第一供应量的含硅气体流给PECVD设备。在一具体实施例中,当步骤S604完成时,开始进行步骤S605。在另一具体实施例中,当步骤S604完成时,没有实质上的延迟而马上开始进行步骤S605。如图5所示,该含硅气体流会促使氮氧化硅间隔层114开始形成。
在步骤S605中供应给PECVD设备的含硅气体流,实质上少于理想配比量,其中该理想配比量依照含氧气体流或/及含氮气体流而定。开始限制含硅气体流的目的是为了防止在PECVD反应混合物中有多余的硅存在,因此可避免金属硅化物的形成。如果一开始便供应接近或超过理想配比量的含硅气体流,则不是在金属栅极电极表面上,就是在金属栅极电极和氮氧化硅间隔体114间的界面上,会形成金属硅化物。通过供应相对于含氧及含氮气体的次理想配比量的硅,则在金属栅极电极表面上与金属栅极电极和氮氧化硅间隔体114间的界面上实质上不会有硅化物形成。如果初始供应理想配比量,而有较多的自由硅,便会由金属栅极电极110的金属与供应给PECVE设备的含硅气体中的硅反应形成此类硅化物。
由于在步骤S605中,供应给PECVD反应的含硅气体量极为有限,因此在该步骤中,氮氧化硅层114的形成速率较低。举例而言,在步骤S605中,在形成该氮氧化硅层的初始时期,氮氧化硅的沉积速率可能在约每秒5埃到每秒50埃的范围内。而在一具体实施例中约为每秒25埃。然而,若要将SiON层形成至300到1000埃的厚度,则该速率并不具经济效益。
在一具体实施例中,该含硅气体为硅烷(silane)(SiH4)。在另一具体实施例中,该含硅气体为二氯硅烷(dichlorosilane)。虽然可使用例如TEOS的含硅材料,但当意欲在由PECVD制程形成的氮氧化硅间隔体114中维持低含量的氢时,则该材料中高含量的氢较不适宜。
如图6所示,在下一步骤S606中,将供应给PECVD设备的含硅气体流从步骤S605中的供应量开始逐渐增加。在一具体实施例中,当步骤S605完成时,开始进行步骤S606。在另一具体实施例中,当步骤S605完成时,则实质上没有延迟而马上开始进行步骤S606。如图5所示,该含硅气体流会导致氮氧化硅间隔层114的进一步的形成。在一具体实施例中,在步骤S606中的含硅气体流会持续约2秒到20秒的时间。在另一具体实施例中,这段时间则在约3秒到10秒的范围内。
由于含硅气体流的增加,因此氮氧化硅的形成速率也会增加。在一具体实施例中,该速率会大幅度地增加。举例而言,在步骤S606中,氮氧化硅的沉积速率会增加至约每秒100埃到每秒150埃。而在一具体实施例中,则会增加至约每秒125埃。若要将SiON层形成至300到1000埃的厚度,则该速率具有经济效益。
在一具体实施例中,含硅气体流会持续地供应,直到沉积成厚约200埃到约1000埃的氮氧化硅层为止。在另一具体实施例中,该厚度在约250埃到750埃的范围内。在另一具体实施例中,该厚度在约300埃到600埃的范围内。而在另一具体实施例中约为400埃。
在一具体实施例中,在约300℃到600℃范围的温度中,进行该PECVD制程。
在一具体实施例中,氮氧化硅间隔体114的乏硅部分,包括约25%到40%的氮氧化硅间隔体114的总厚度。而在另一具体实施例中,则约为33%的总厚度。如上述,可依据X射线光电子分光判定法或/和氮氧化硅折射率判定法,将氮氧化硅间隔体114的乏硅部分与间隔体114的其余部分区分开来。
在一具体实施例中,在沉积氮氧化硅间隔层114之后,将氮氧化硅层114延伸超过金属栅极电极110的部分予以移除,以形成如图1所示的氮氧化硅间隔体114。在一具体实施例中,使用适宜的光刻、屏蔽和蚀刻步骤,将该部分的氮氧化硅层114移除。当然也可使用其它熟知方法来移除氮氧化硅层114的这些部分。
在形成金属栅极电极110和氮氧化硅间隔体114的过程中,在任何经挑选及适宜的时机,可将该形成中的半导体装置100施以适当的植入制程,以形成如图1所示的源极和漏极104、106。在一具体实施例中,可在形成金属栅极电极110之后,但在沉积氮氧化硅间隔体114之前,进行该植入制程。在另一具体实施例中,可在形成金属栅极电极110和沉积氮氧化硅间隔体114之后,进行该植入制程。在另一具体实施例中,可在移除氮氧化硅层114的多余部分来形成图1的氮氧化硅间隔体114之后,再进行该植入制程。
当金属栅极电极110、氮氧化硅间隔体114、和源极及漏极104、106形成完毕后,即可得到如图1所示的半导体装置100。其后,可对该半导体装置100施以制造流程中进一步的加工,本发明的制程为该制造流程中的一部份。
虽然此处通过一些特定具体实施例说明本发明,但显然地,熟知本项技术者可利用前述的说明轻易地完成各种改变、修改和变化。因此,在附加的权力要求的精神和范畴内的所有改变和变型的各种变化,都仍应包含于本发明中。
工业应用性
本发明提供一种方法,其可在金属栅极电极上形成氮氧化硅介电层,而不会或实质上不会在金属栅极电极和氮氧化硅介电层间的界面上形成硅化物。因此,在熟知技术中,有关在金属栅极电极上或在其它金属结构上形成含硅介电层时,必然形成不需要的金属硅化物的问题,可利用本发明将其克服。因而,可满足尺寸越来越小的金属栅极电极的需求并可保留金属栅极电极上的金属的效能。此外,可将本发明所揭露的方法轻易地并入目前存在的生产制程,因此可避免昂贵的再设计费用和再制作工具的费用。

Claims (10)

1.一种制造半导体装置的制程,其中该半导体装置包含金属栅极电极,该制程包括:
提供一半导体基板;
在该半导体基板上形成一金属栅极电极;以及
以PECVD在该金属栅极电极表面上形成一氮氧化硅间隔体;其中,在最初为乏硅条件下形成该氮氧化硅间隔体;其中,在该乏硅条件中,供应具有第一供应量的至少一含硅材料给该PECVD设备,而该第一供应量是相对于至少一其它反应物的供应量而予以减少;其中藉此,在该金属栅极电极和该氮氧化硅间隔体间的界面上,实质上不会形成硅化物。
2.如权力要求1所述的制程,其中,该形成的步骤进一步包括:
供应经增加的第二供应量的所供应的该至少一含硅材料。
3.如权力要求1所述的制程,其中,该乏硅条件包括:一开始不供应任何含硅材料,而供应一含氧材料和一含氮材料给一PECVD设备,随后,除了该含氧材料和该含氮材料外,进一步供应上述第一供应量的至少一含硅材料给该PECVD设备,其中,所供应的上述第一供应量的至少一含硅材料含有次理想配比量的硅,而该硅的次理想配比量是在氮氧化硅的PECVD沉积中相对于含氧材料和含氮材料而言。
4.如权力要求1所述的制程,其中,实质上没有金属硅化物形成于该金属栅极电极的表面上。
5.如权力要求1所述的制程,其中,在范围约300℃到600℃的温度中,进行该PECVD制程。
6.一种包含一金属栅极电极(110)的半导体装置(100),其包括:
一半导体基板(102);
一金属栅极电极(110);以及
一氮氧化硅间隔体(114),其形成于该金属栅极电极(110)的表面上,其中在该第一层和该金属栅极电极间的界面上,实质上没有金属硅化物。
7.如权力要求6所述的半导体装置,其中,该金属栅极电极(110)的表面实质上没有金属硅化物。
8.如权力要求6所述的半导体装置,其中,该金属栅极电极(110)包括:钼(Mo)、镍(Ni)、钽(Ta)、铝(Al)、钴(Co)、铜(Cu)、铗(Re)、钛(Ti)或钨(W)或其中二种以上金属的混合物或合金,或者为包括氮化钽(TaN)、氮硅化钽(TaSiN)、氮化钨(WN)、氮硅化钨(WSiN)的其中二种以上导电金属氮化物的混合物或合金。
9.如权力要求6所述的半导体装置,其中,该氮氧化硅具有一分子式:
SiwOxNyHz
其中:
w为约20%到56%的原子硅的范围内;
x为约5%到40%的原子氧的范围内;
y为约10%到40%的原子氮的范围内;
z为约0%到10%的原子氢的范围内;以及
其中,w+x+y+z=100%的原子。
10.如权力要求6所述的半导体装置,其中,该氮氧化硅间隔体(114)的第一部份具有约1.6到1.9的范围内的折射率,而其第二部分则具有在约1.95到2.3的范围内的折射率。
CNB02823443XA 2001-11-26 2002-10-11 具有金属栅极电极的半导体装置及其制程 Expired - Fee Related CN100355043C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/994,128 US6509282B1 (en) 2001-11-26 2001-11-26 Silicon-starved PECVD method for metal gate electrode dielectric spacer
US09/994,128 2001-11-26

Publications (2)

Publication Number Publication Date
CN1592959A true CN1592959A (zh) 2005-03-09
CN100355043C CN100355043C (zh) 2007-12-12

Family

ID=25540311

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB02823443XA Expired - Fee Related CN100355043C (zh) 2001-11-26 2002-10-11 具有金属栅极电极的半导体装置及其制程

Country Status (7)

Country Link
US (2) US6509282B1 (zh)
EP (1) EP1449243A1 (zh)
JP (1) JP2005510872A (zh)
KR (1) KR100891367B1 (zh)
CN (1) CN100355043C (zh)
AU (1) AU2002347877A1 (zh)
WO (1) WO2003046971A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111540673A (zh) * 2020-07-07 2020-08-14 中芯集成电路制造(绍兴)有限公司 半导体器件的形成方法
US11223289B2 (en) 2020-01-17 2022-01-11 Astec International Limited Regulated switched mode power supplies having adjustable output voltages

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002001627A1 (fr) * 2000-06-26 2002-01-03 Hitachi, Ltd. Dispositif a semi-conducteur et procede de fabrication associe
US20040212025A1 (en) * 2003-04-28 2004-10-28 Wilman Tsai High k oxide
JP4511307B2 (ja) 2004-02-10 2010-07-28 セイコーエプソン株式会社 ゲート絶縁膜、半導体素子、電子デバイスおよび電子機器
US7102191B2 (en) * 2004-03-24 2006-09-05 Micron Technologies, Inc. Memory device with high dielectric constant gate dielectrics and metal floating gates
JP4876375B2 (ja) * 2004-07-06 2012-02-15 ソニー株式会社 半導体装置およびその製造方法
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
KR100688575B1 (ko) * 2004-10-08 2007-03-02 삼성전자주식회사 비휘발성 반도체 메모리 소자
US20060094194A1 (en) * 2004-11-04 2006-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced disposable spacer process by low-temperature high-stress nitride film for sub-90NM CMOS technology
US7732923B2 (en) * 2004-12-30 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Impurity doped UV protection layer
KR100771808B1 (ko) * 2006-07-05 2007-10-30 주식회사 하이닉스반도체 Sonos 구조를 갖는 플래시 메모리 소자 및 그것의제조 방법
KR100819706B1 (ko) * 2006-12-27 2008-04-04 동부일렉트로닉스 주식회사 씨모스 이미지센서 및 그 제조방법
DE202007001431U1 (de) * 2007-01-31 2007-05-16 Infineon Technologies Austria Ag Halbleiteranordnung und Leistungshalbleiterbauelement
JP5358893B2 (ja) * 2007-04-03 2013-12-04 三菱電機株式会社 トランジスタ
US20080246099A1 (en) * 2007-04-09 2008-10-09 Ajith Varghese Low temperature poly oxide processes for high-k/metal gate flow
CN102157360B (zh) * 2010-02-11 2012-12-12 中芯国际集成电路制造(上海)有限公司 一种栅极制造方法
US8936965B2 (en) * 2010-11-26 2015-01-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US8822283B2 (en) 2011-09-02 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned insulated film for high-k metal gate device
WO2014129519A1 (en) 2013-02-20 2014-08-28 Semiconductor Energy Laboratory Co., Ltd. Peeling method, semiconductor device, and peeling apparatus
US9799829B2 (en) 2014-07-25 2017-10-24 Semiconductor Energy Laboratory Co., Ltd. Separation method, light-emitting device, module, and electronic device

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US444124A (en) * 1891-01-06 Matrix making machine
US4441247A (en) 1981-06-29 1984-04-10 Intel Corporation Method of making MOS device by forming self-aligned polysilicon and tungsten composite gate
US4648175A (en) 1985-06-12 1987-03-10 Ncr Corporation Use of selectively deposited tungsten for contact formation and shunting metallization
JPS63184340A (ja) * 1986-09-08 1988-07-29 Nec Corp 半導体装置
JPS63316476A (ja) 1987-06-18 1988-12-23 Seiko Instr & Electronics Ltd 半導体装置およびその製造方法
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH01173635A (ja) * 1987-12-28 1989-07-10 Nissan Motor Co Ltd 半導体装置の製造方法
GB2244860A (en) 1990-06-04 1991-12-11 Philips Electronic Associated Fabricating mim type device array and display devices incorporating such arrays
JPH04209543A (ja) * 1990-12-06 1992-07-30 Seiko Instr Inc 半導体装置の製造方法
FR2670605B1 (fr) 1990-12-13 1993-04-09 France Etat Procede de realisation d'une barriere de diffusion electriquement conductrice a l'interface metal/silicium d'un transistor mos et transistor correspondant.
JP2506539B2 (ja) * 1992-02-27 1996-06-12 株式会社ジーティシー 絶縁膜の形成方法
GB9206086D0 (en) 1992-03-20 1992-05-06 Philips Electronics Uk Ltd Manufacturing electronic devices comprising,e.g.tfts and mims
JP2722989B2 (ja) * 1993-04-27 1998-03-09 日本電気株式会社 配線の埋め込み方法
DE69433836D1 (de) * 1993-12-28 2004-07-15 Applied Materials Inc Verfahren zur plasma-unterstützten chemischen Dampfabscheidung von Silizium-Oxynitridschichten
US5482894A (en) * 1994-08-23 1996-01-09 Texas Instruments Incorporated Method of fabricating a self-aligned contact using organic dielectric materials
NZ280375A (en) 1996-05-01 1998-09-24 Ind Res Ltd A silicon oxynitride ceramic material characterised by its x-ray powder diffraction trace
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US5930627A (en) 1997-05-05 1999-07-27 Chartered Semiconductor Manufacturing Company, Ltd. Process improvements in self-aligned polysilicon MOSFET technology using silicon oxynitride
US5989957A (en) 1997-05-21 1999-11-23 Advanced Micro Devices Process for fabricating semiconductor memory device with high data retention including silicon oxynitride etch stop layer formed at high temperature with low hydrogen ion concentration
US6187656B1 (en) 1997-10-07 2001-02-13 Texas Instruments Incorporated CVD-based process for manufacturing stable low-resistivity poly-metal gate electrodes
US6051487A (en) 1997-12-18 2000-04-18 Advanced Micro Devices, Inc. Semiconductor device fabrication using a sacrificial plug for defining a region for a gate electrode
US6140190A (en) * 1997-12-18 2000-10-31 Advanced Micro Devices Method and structure for elevated source/drain with polished gate electrode insulated gate field effect transistors
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
US6225168B1 (en) 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6200734B1 (en) * 1998-06-15 2001-03-13 Lucent Technologies Inc. Method for fabricating semiconductor devices
US6107171A (en) 1998-07-09 2000-08-22 Vanguard International Semiconductor Corporation Method to manufacture metal gate of integrated circuits
US6110779A (en) 1998-07-17 2000-08-29 Advanced Micro Devices, Inc. Method and structure of etching a memory cell polysilicon gate layer using resist mask and etched silicon oxynitride
US6100559A (en) * 1998-08-14 2000-08-08 Advanced Micro Devices, Inc. Multipurpose graded silicon oxynitride cap layer
JP2000091337A (ja) * 1998-09-09 2000-03-31 Toshiba Microelectronics Corp 半導体装置及びその製造方法
US6066533A (en) 1998-09-29 2000-05-23 Advanced Micro Devices, Inc. MOS transistor with dual metal gate structure
US6245605B1 (en) 1998-09-29 2001-06-12 Texas Instruments Incorporated Method to protect metal from oxidation during poly-metal gate formation in semiconductor device manufacturing
US6162694A (en) 1998-11-25 2000-12-19 Advanced Micro Devices, Inc. Method of forming a metal gate electrode using replaced polysilicon structure
US6221794B1 (en) * 1998-12-08 2001-04-24 Advanced Micro Devices, Inc. Method of reducing incidence of stress-induced voiding in semiconductor interconnect lines
KR100300628B1 (ko) * 1999-02-08 2001-09-26 윤종용 실리콘 옥시나이트라이드 보호층을 갖는 반도체 장치 및 그 제조 방법
US6291282B1 (en) * 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6096656A (en) 1999-06-24 2000-08-01 Sandia Corporation Formation of microchannels from low-temperature plasma-deposited silicon oxynitride
US6046103A (en) * 1999-08-02 2000-04-04 Taiwan Semiconductor Manufacturing Company Borderless contact process for a salicide devices
JP4243401B2 (ja) * 1999-12-21 2009-03-25 エルジー ディスプレイ カンパニー リミテッド 銅配線基板およびその製造方法ならびに液晶表示装置
US6372668B2 (en) * 2000-01-18 2002-04-16 Advanced Micro Devices, Inc. Method of forming silicon oxynitride films
US6670695B1 (en) * 2000-02-29 2003-12-30 United Microelectronics Corp. Method of manufacturing anti-reflection layer
JP2001308086A (ja) * 2000-04-18 2001-11-02 Nec Corp 膜形成方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11223289B2 (en) 2020-01-17 2022-01-11 Astec International Limited Regulated switched mode power supplies having adjustable output voltages
CN111540673A (zh) * 2020-07-07 2020-08-14 中芯集成电路制造(绍兴)有限公司 半导体器件的形成方法
CN111540673B (zh) * 2020-07-07 2020-10-16 中芯集成电路制造(绍兴)有限公司 半导体器件的形成方法

Also Published As

Publication number Publication date
KR20040060991A (ko) 2004-07-06
US6605848B2 (en) 2003-08-12
JP2005510872A (ja) 2005-04-21
KR100891367B1 (ko) 2009-04-02
WO2003046971A1 (en) 2003-06-05
AU2002347877A1 (en) 2003-06-10
CN100355043C (zh) 2007-12-12
US6509282B1 (en) 2003-01-21
EP1449243A1 (en) 2004-08-25
US20030098487A1 (en) 2003-05-29

Similar Documents

Publication Publication Date Title
CN1592959A (zh) 在乏硅环境下使用等离子增强化学气相沉积制程的金属栅极的氮氧间隔体的形成方法
CN1177357C (zh) 具有最小覆盖电容的金属氧化物半导体场效应晶体管
CN1211864C (zh) 半导体器件及其制造方法
CN2731718Y (zh) 具有多样的金属硅化物的半导体元件
CN1294648C (zh) 制造多重阈值的方法和工艺
CN1173389C (zh) 一种金属氧化物半导体器件及其制造方法
CN1503372A (zh) 具有多重闸极及应变的通道层的晶体管及其制造方法
CN1797743A (zh) 具有完全硅化闸电极的拉伸型通道cmos装置及其形成方法
KR102079835B1 (ko) 로직 cmos 흐름에 대한 ono 통합 방법
CN1655362A (zh) 用于半导体器件的电介质层及其制造方法
US9653300B2 (en) Structure of metal gate structure and manufacturing method of the same
CN1922717A (zh) 用于半导体制造的栅极掺杂物激活方法
CN1992273A (zh) 半导体结构及其制造方法
CN1663051A (zh) 半导体器件及其制造方法
CN1767205A (zh) 包括高k-介质材料的半导体器件及其形成方法
CN1090427A (zh) 半导体器件及其制造方法
CN1261986C (zh) 含高介电常数绝缘膜的半导体设备和该设备的制造方法
CN1670964A (zh) 金属氧化物半导体场效应晶体管及其制造方法
CN1877795A (zh) 半导体器件及其制造方法
CN1841772A (zh) 半导体器件及其制造方法
CN1665024A (zh) 包含多层电极结构的半导体器件
CN1310339C (zh) 薄膜晶体管及其生产方法
JP2007528602A (ja) 高誘電率集積用のシリコンゲルマニウム表面層
CN1820355A (zh) 高k介电膜,及其形成方法和相关的半导体器件
CN1815703A (zh) 半导体装置及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: ADVANCED MICRO DEVICES INC

Free format text: FORMER OWNER: ADVANCED MICRO DEVICES INC.

Effective date: 20100709

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; FROM: CALIFORNIA, USA TO: GRAND CAYMAN ISLAND RITISH CAYMAN ISLANDS

TR01 Transfer of patent right

Effective date of registration: 20100709

Address after: Grand Cayman, Cayman Islands

Patentee after: Globalfoundries Semiconductor Inc.

Address before: American California

Patentee before: Advanced Micro Devices Inc.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20071212

Termination date: 20161011