CN1367933A - 用于半导体处理的气体分配设备 - Google Patents

用于半导体处理的气体分配设备 Download PDF

Info

Publication number
CN1367933A
CN1367933A CN00809734A CN00809734A CN1367933A CN 1367933 A CN1367933 A CN 1367933A CN 00809734 A CN00809734 A CN 00809734A CN 00809734 A CN00809734 A CN 00809734A CN 1367933 A CN1367933 A CN 1367933A
Authority
CN
China
Prior art keywords
gas
baffle plate
opening
gas distributing
distributing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN00809734A
Other languages
English (en)
Other versions
CN1165966C (zh
Inventor
郝芳莉(音译)
拉金德尔·丁德萨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1367933A publication Critical patent/CN1367933A/zh
Application granted granted Critical
Publication of CN1165966C publication Critical patent/CN1165966C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种半导体处理用的气体分配系统,包括一仿形表面以实现在簇射头背面所需的气体分布。该系统可包括一或多个朝向在挡板和温控支持部件之间的气源开口。挡板可以有非均匀厚度和几何控制的开口以实现所需的气体分布。在一个安排中挡板是锥形的,具有均匀直径的孔,它们以不同距离延伸穿越挡板,以实现通过挡板平坦底表面中的出口的均匀气体压力。在另一安排中,孔在远离位于中心的气源出口方向时有逐渐增大的直径。挡板的形状及/或孔的配置可以设计来实现所需的气压分布。

Description

用于半导体处理的气体分配设备
发明领域
本发明涉及用于处理诸如集成电路晶片这样的半导体基片的反应室,特别是对用于这些反应室中的气体分配系统的改进。
发明背景
半导体加工包括像金属、介电和半导体材料的化学汽相沉积(CVD)这样的沉积处理,这些层的蚀刻,光刻胶掩膜层的抛光等等。在蚀刻的情况中,等离子体蚀刻通常用于蚀刻金属、介电和半导体材料。平行板式的等离子体反应器典型地包括含有一块或多块挡板的气室,让蚀刻气体通过它的簇射头(showerhead)电极,将硅晶片支持在底电极上的支架,射频电源,和用于向气室提供气体的气体喷射源。气体被电极电离而形成等离子体。等离子体蚀刻支持在簇射头电极下面的晶片。
半导体基片的等离子体加工用的簇射头电极,公开于共同转让的美国专利5,074,456、5,472,565、5,534,751、和5,569,356中。其它簇射头电极气体分配系统公开于美国专利4,209,357、4,263,088、4,270,999、4,297,162、 4,534,816、4,579,618、4,590,042、4,593,540、 4,612,077、4,780,169、4,792,378、4,820,371、4,854,263、5,006,220、5,134,965、5,494,713、5,529,657、5,593,540、5,595,627、5,614,055、5,716,485、5,746,875、和5,888,907中。
在等离子体蚀刻过程期间,通过向处于较低压力的气体加入大量的能量而使气体电离以形成等离子体,从而在晶片的掩膜表面之上形成等离子体。通过调节晶片的电位,等离子体中带电的样品可被导向以便垂直地冲撞在晶片上,使得晶片上无掩膜区域的材料被移走。
为了得到在整个晶片表面上的均匀的蚀刻速率,希望在晶片表面上能均匀地分布等离子体。当前的气体分布室的设计包括多块挡板,它们被优化以均匀地分布蚀刻气体来得到在晶片上的所需的蚀刻效果。常规的气体分配设计包括具有数以百计的开口或复杂而难以制造的几何形状以保证向簇射头电极的背面均匀分配蚀刻气体。也做过一些尝试通过使用不同形状的电极来控制气体流。但是,制造具有复杂几何形状的极纯硅电极是困难和昂贵的。当蚀刻大的12英寸(300mm)晶片时,要控制处理气体以便跨越簇射头来建立均匀的气压分布甚至更加困难。开口和挡板的数量必须大量增加以维持蚀刻气体的均匀分布。随着挡板上开口数的增加和挡板数的增加,制造这样一种气体分配设备的复杂性和成本也大为增加。
美国专利5,736,457描述了单和双“镶嵌”(damascene)金属化过程。在“单镶嵌”方法中,通孔和导体是在分开的步骤中形成的,其中供导体或通孔用的金属化图形是蚀刻在介电层中的,将金属层填入介电层中的沟槽或通孔小洞中,而多余的金属则用化学机械平面化(CMP)或用返回蚀刻过程来移走。在“双镶嵌”法中,供通孔和导体用的金属化图形是蚀刻在介电层中的而蚀刻的沟槽和通孔开口是用一次单独的金属填充和移走多余金属过程来填入金属的。
根据前述可以看到,随着半导体基片尺寸的增加,要在基片上面实现加工用气体的均匀分布变得更加困难。因此,在本技术领域存在这样的需要去改进气体分配系统。此外,就气体分配系统的成份是定期更换方面而言,如果这些成分能够设计成便于它们的经济制造的方式,这将是可取的。
发明概述
本发明提供一种气体分配系统,它包括在气体分配室中的一个仿形表面(a contoured surface)以实现在通过簇射头送出的气体有所需的分布。这样,可以选择仿形表面的几何形状来优化簇射头和被加工的半导体基片之间的气流。
按照本发明的气体分配系统优选包括一支持体,一气体分配室,一供气口,一簇射头和仿形表面。供气口向气体分配室提供加压的处理气体,而且簇射头由支持体支持以使气体分配室内的加压处理气体对簇射头的后侧施加压力并且通过在簇射头的背面和其对面之间延伸的开口。该仿形表面是在气体分配室内,并在簇射头的背面提供所需的气压分布是有效的。
该仿形表面可以位于支持体上或位于在气体分配室内的挡板上。例如,仿形表面可以包括该挡板或在支持体的下表面上的非平面上部及/或下部表面。气体分配室可以包括在挡板的相对两侧的上部及/或下部充气室(plenum)或在仿形表面和簇射头背面之间的开放空间。支持体可以包括至少一个冷却剂通道,冷却剂在其中循环。
气体入口可以在气体分配室的不同部分开口。例如,该气体入口可以通过面向该挡板的支持体的一平坦表面中的中央开口提供处理气体,在这种情况下挡板的厚度在挡板的中心部分较厚而在挡板的外围部分较薄。换一种方式,该气体入口可以通过一在上部充气室的外部区域开口的环状通道提供处理气体,在这种情况下挡板的厚度在它的中心部分较薄而在它的外围部分则较厚。挡板可以包括在它的上部和下部表面之间延伸的尺寸均匀的开口,这些开口或者在挡板的中心部分或者在挡板的外围部分有较长的长度。
在仿形表面为支持体的下部表面的情况下,气体入口可以通过在下部表面中的中心开口提供处理气体,而且开口空间可以在它的中心区域较小而在它的外围区域则较大。另外的方式是,气体入口可以通过在开口空间外部区域开口的一入口提供处理气体,在这种情况下簇射头在中心区域可以离仿形表面更远,而在外围区域则离仿形表面更近。
仿形表面可以是与支持体成为一个整体的挡板部分的上部及/或下部的非平面表面,在这种情况下的气体分配室包括在挡板部分之上的上部充气室和在挡板部分之下的下部充气室。在这种情况下,上部充气室可以被支持体的上部侧壁和对上部侧壁进行密封的盖板(它可任选地包含一或多个冷却剂通道)所包围,而下部充气室则可以被支持体的下部侧壁和将下部侧壁密封的簇射头所包围。
附图简介
本发明的目的和优点将通过结合附图阅读下面的详细说明而得以理解,在这些图中:
图1是按照本发明的气体分配室的截面图;
图2是按照本发明的气体分配室的第三实施例的分解的透视剖面图;
图3A-E是按照本发明的各种仿形表面设计的截面图;
图4是按照本发明第三实施例的挡板的截面图;以及
图5A-B表明能够用本发明的气体分配室而实现的蚀刻过程。
优选实施例的详细描述
为了更好地理解本发明,下面的详细说明参考了附图,其中表示并说明了本发明的优选示范实施例。此外,用于在图中识别本发明的关键元件的附图标记在整个图中是一致的。
按照本发明,处理气体能够从一或多个气源均匀地分布到位于簇射头底下的基片上。本簇射头可以用于任何类型的希望将处理气体分布在半导体基片上的半导体加工设备中。这样的设备包括CVD系统,抛光设备,电容耦合等离子体反应器,电感耦合等离子体反应器,ECR反应器,以及类似设备。
用于平行板式等离子体反应器的气体分配系统示于图1中,其中支持板20和簇射头22固定在一起以限定一密封的气体分配室24。包括一或多个挡板的挡板组合26位于支持板20和簇射头22之间。按照本发明,挡板组合26的几何形状和安排配置成使气体均匀地分布到簇射头22的背面28。在半导体晶片加工例如化学汽相沉积或干式蚀刻等离子体加工中,为了增加这些处理的一致性和成品率,希望做到跨越基片的处理气体的受控分布。
按照本发明,使用了仿形表面以便在簇射头的背面提供所需的气体压力分布。该气体分配系统最好包括一支持体、一气体分配室、一供气口、一簇射头和仿形表面。供气口向气体分配室提供加压的处理气体,而簇射头则由支持体支持从而使气体分配室中的加压气体向簇射头的背面施加压力并且通过在簇射头的背面和其对面之间伸展的开口。
仿形表面可以位于支持体上或位于在气体分配室内的挡板上。例如,仿形表面可以包括挡板的非平面上部及/或下部表面或在支持体的下表面上。气体分配室可以包括在挡板的对立两面上的上部及/或下部充气室或在仿形表面和簇射头背面之间的开放空间。支持体可以包括至少一个冷却剂通道,其中可以让冷却剂循环。
气体入口可以在气体分配室中不同部分开口。例如,气体入口可以通过在支持体的平坦表面上面对挡板的中心开口提供处理气体,在这种情况下挡板的厚度在挡板的中心部分较厚而在挡板的外围部分则较薄。另一种方式,气体入口可以通过向上部充气室的外围区域开口的入口来提供处理气体,在这种情况下挡板的厚度在它的中心部分较薄而在它的外围部分则较厚。挡板可以含有在它的上部和下部表面之间延伸的尺寸均匀的开口,这些开口或者在挡板的中心部分或者在挡板的外围部分有较长的长度。
在仿形表面是支持体的下表面的情况下,气体入口可以通过在下表面的中心开口提供处理气体,它的中心区域的开放空间可以较小而它的外围区域的开放空间则较大。另一种方式,气体入口可以通过开口于开放空间的外围区域这样的环形通道的入口来提供处理气体,在这种情况下簇射头可以离外围区域的仿形表面较近而离中心区域的仿形表面较远。同样,可以仅在开放空间的一侧提供入口,在这种情况下仿形表面将要有适当的形状以实现所需的压力分布。
仿形表面可以是与支持体成为一个整体的挡板部分的上部及/或下部非平坦表面,在这种情况下气体分配室包括在挡板部分上面的上部充气室和在挡板部分下面的下部充气室。在这种情况下,上部充气室可以被支持体的上侧壁和对上侧壁进行密封的盖板(它可任选地包含一或多个冷却剂通道)所包围,而下部充气室则可被支持体的下侧壁和对下侧壁密封的簇射头所包围。
仿形表面可以在非均匀厚度的挡板上提供,而且挡板可以包含几何上受控的开口,其中该开口的长度及/或尺寸可变化以实现所需的气体分布。仿形挡板的一种实施例示于图2,其中挡板92包括仿形顶表面94。挡板92固定在由支持板20和簇射头22规定界限的气体分配室24中。挡板92包括与簇射头22和支持板20相接触的外围壁96。另一种方式,挡板92可以通过在支持板的上表面提供一个凹口并用盖板来密封该凹口而使它与支持板20成为一个整体。在气体压力在挡板中心的上面是最高的情况下,可以通过将仿形顶表面94设计成从中心高出部位98连续向气体分配室24的周边倾斜而实现在挡板下面的均匀气体压力。气体穿越垂直于挡板92的平坦底表面95的开口100而通过。但是,如果需要,部分或全部开口100可以不垂直于底表面。
在图2所示的设计中,处理气体是穿过大体上位于中心的气体入口而送出的。但是,气体可以穿过非位于中心的气源及/或多个气源而提供。在支持板20和挡板92的上表面94之间的充气室中,处理气体的压力在位于中心的供气口102最近的地方是最高的并在趋向挡板92的周边处降低。随着气体穿越挡板92上的开口100通过,由于发生摩擦而损失压力。对于具有圆形截面的开口,这样的压力降可以用方程式CαD3/L来表示,其中C是气流传导率,D是孔的直径而L是孔的长度。一般而言,对于相等尺寸的开口,具有较长长度的开口由于摩擦比起较短的开口要产生更大的压力损失。与此相似,开口直径的变化比起开口在长度方面同样比例的变化来,在摩擦压力损失方面的变化会引起更为剧烈的效果,因为气体的流动速率和开口直径的三次方成正比而和开口的长度成线性反比。
挡板92的顶表面94的斜率可以这样设计以实现开口100跨越挡板92的所需的长度变化。在所示的实施例中,最长的开口开始于挡板92的高的中心部分98处,那里的入口气体压力为最高。由于斜坡表面,开口离挡板92的高中心部分越远则其长度越短。利用这种几何控制的挡板设计,就可能选择挡板92的仿形顶表面94的斜率和穿越挡板92的各开口100的位置,从而使气体在通过挡板92并接触簇射头22的背面28时能够建立起基本上均匀的压力分布。如果需要一个受控的非均匀的压力分布,可以选择开口100和挡板92的形状以建立所需的压力分布。
跨越挡板的各开口100的直径可以是相同的或者其直径可以是变化的,例如,直径大的开口104可以位于靠近挡板92的周边位置以便对流经挡板92的周边中的开口的相对较低压力的气体提供小的摩擦压力损失。在一种修改过的几何控制的挡板中,挡板可以具有任何所需的形状及/或不均匀的孔的尺寸,及/或孔的角度可以变化,以实现所需的压力分布。例如,如果希望跨越簇射头有均匀的压力,则在中心馈送的气体分配系统中在挡板周边的孔可以有较大的直径而在中心部分则有较小的直径。相反,在处理气体是馈送到充气室周边的气体分配系统中,在挡板中央的孔可以较大。
仿形表面94的各种实施例示图3A-E。在图3A中,仿形表面94是挡板92的上表面,气体入口102向气体分配室24的中心部分提供处理气体,挡板92在它的中部较厚而它的外围部分则较薄。这样,在气体压力最高的区域(即气体入口102打开进入室24的地方),穿过挡板的开口较长,从而用来在处理气体进入在挡板92和簇射头22之间的下部充气室24a时降低穿越通过的处理气体的压力。如图3A所示,支持体20可以包含一个或多个冷却剂通道21。
图3B表示挡板92和支持体20成为一个整体时的设计。在这种情况下,仿形表面94是支持体20的上表面,气体分配室24包括在挡板部分92和盖板20a之间的上部充气室和在挡板部分92和簇射头22之间的下部充气室24a。充气室24和24a则进一步由支持体20的侧壁20b和20c所包围。
图3C表示仿形表面94是挡板92的下表面时的设计。在这样的设计中,气体入口102向上部充气室24的中心部分开口,挡板92在它的中部更厚而在它的外围部分较薄。因此,在挡板92的中心部分的开口100较长因而当气体穿透挡板92的中心部分经过时能有效地降低气体压力。这样,和仿形表面94是挡板92的上表面时的设计相似,图3C所示的设计能有效地在簇射头22的后面得到均匀的气体压力分布。
图3D表示气体入口102向围绕上部充气室24而延伸的环状通道开口的设计,通过它处理气体进入上部充气室24的外围区域。因此,在环形通道102a附近的气体压力最高而趋向充气室24的中心区域则气体压力变得较低。仿形表面94是挡板92的上表面,挡板92在它的外围部分较厚而在它的中心部分较薄。这样,开口100在挡板的外围部分较长而在中间部分则较短。因此,穿透挡板外围部分的开口而流过的处理气体的气压在处理气体进入下部充气室24a时被降低以便在簇射头22的背面提供更均匀的气压分布。
图3E表示仿形表面94是支持体20的下表面时的设计。在所示的设计中,气体入口102在气体分配室24的外围处的环形通道开口。因此,气体的压力降在室24的中心部分被减少。由于仿形表面94向簇射头22倾斜并因而沿着趋向于簇射头22的外围部分的方向而减少在仿形表面94和簇射头22的背面之间的距离,所以在簇射头22的背面的气压可以变得更加均匀。但是,如果气体入口102在室24的中心开口,则仿形表面94将反向使得在仿形表面94和簇射头的背面之间的距离在簇射头的外围部分是最大而在簇射头的中心部分是最小。
每个通过倾斜体的开口有一个出口106,它在簇射头22的上方开口。如图4所示,出口106在挡板的任何所需位置可以是带台阶的或带斜坡的(例如孔的直径在表面95可以为最大)从而可起扩散器的作用以控制流出挡板92的气体的压力。斜坡的出口106对流出开口的气体实现一个压力降而台阶式(即其直径急剧变化的)开口107会在气体流出开口时实现大得多的压力降。通过包含具有非均匀厚度的挡板和受几何形状控制的开口,本发明即使在处理12英寸的大量片时仍能实现所需的气体分布均匀性。图5A-B表示如何按照本发明在单独一个步骤中可以蚀刻双镶嵌结构的原理图。图5A表示蚀刻前的情况,其中在光刻胶掩膜层520上提供一个相当于沟槽的开口500,掩膜层覆盖在一个叠层上,它包括像氧化硅这样的第一介电层540,像氮化硅这样的第一终止层560,像氧化硅这样的第二介电层580,像氮化硅这样的第二终止层600,以及像硅晶片这样的基片620。为了在单独一步蚀刻中得到对通过第一终止层560的通孔的蚀刻,第一终止层560包含一个开口640。图5B表示在蚀刻后的结构,其中开口500通过介电层540延伸到第一终止层560且开口640经过第二介电层580延伸到第二终止层600。这样的安排可以称作为“自整定双镶嵌”结构。
在蚀刻过程期间,在第一和第二实施例中的由第一和第二气源所提供的处理气体的条件是可以相互改变的,例如,在蚀刻沟槽500期间,可以提供氩、氧和碳氟化合物(例如CHF3、C4F8)的混合气,而在蚀刻通孔640期间流到晶片中心区域的氧可以减少。这样,按照本发明流向晶片中心和边缘的气体可加以调节以补偿在等离子体室中边缘快速蚀刻和中心快速蚀刻的情况。例如,在常规的等离子体蚀刻中,边缘快速蚀刻的情况可以发生直到光刻胶被蚀刻掉为止,此后就可出现中心快速蚀刻的情况。对于本发明的气体分配设备来说,当晶片上有光刻胶层的时候可以将更多的氧提供到中心,而当光刻胶层被蚀刻掉时流到中心的氧可以减少。因此,通过对边缘快速和中心快速蚀刻条件的补偿可以实现更加均匀的蚀刻。
本发明的过程可以用于包括对各种介电层进行等离子体蚀刻在内的各种等离子体处理,这些介电层是例如像氟处理过的氧化硅(FSG)这样的掺杂氧化硅,像二氧化硅这样的未掺杂氧化硅、离心涂层玻璃(SOG),像磷酸硼硅酸盐玻璃(BPSG)这样的硅酸盐玻璃,掺杂的或不掺杂的热生长氧化硅,掺杂的或不掺杂的TEOS沉积的氧化硅等等。介电掺杂剂包括硼、磷及/或砷。电介质可以覆盖在导电或半导体层上,诸如多晶硅,像铝、铜、钛、钨、钼或它们的合金这样的金属,像氮化钛这样的氮化物,像硅化钛、硅化钴、硅化钨、硅化钼这样的金属硅化物,等等。
等离子体可以是在各种类型的等离子体反应器中产生的高密度等离子体。这样的等离子体反应器一般都用射频能量、微波能量、磁场等高能能源来产生高密度等离子体。例如,高密度等离子体可以产生于变压器耦合等离子体(TCPTM)也称作电感耦合等离子体发生、电子回旋谐振(ECR)等离子体反应器、螺旋等离子体反应器,或其类似反应器中。能够提高高密度等离子体的高流量等离子体反应器的一个例子公开于共同拥有的美国专利5,820,723号中,其公开部分被引用于此以供参考。
本发明已参考优选实施例而被说明。但是熟悉本技术的人们容易明白,有可能以不同于上面说明的特定形式来实现本发明而不偏离本发明的精神。优选实施例是说明性的,无论如何不应视为限制性的。本发明的范围由所附权利要求给出,而不是由前面的说明给出,所有在权利要求范围内的变化和等价事例都认为是包括在其中的。

Claims (27)

1.一种可用于处理半导体基片的气体分配系统,包括:
一支持体;
一气体分配室;
一供气口,加压的处理气体通过它流入气体分配室;
一由该支持体支持的簇射头,它使气体分配室中的加压处理气体向簇射头的背面施加压力并且通过在簇射头的背面和其对面之间伸展的开口;以及
一在气体分配室中的仿形表面,这个仿形表面对在簇射头的背面提供所需的气体压力分布是有效的。
2.如权利要求1的气体分配系统,其中该仿形表面包括挡板的非平坦上部及/或下部表面,挡板有穿越它的开口,而且气体分配室包括在该挡板之上的上部充气室和在挡板之下的下部充气室。
3.如权利要求1的气体分配系统,其中该仿形表面是支持体的下部非平坦表面,而气体分配室包括在该仿形表面和簇射头背面之间的开放空间。
4.如权利要求2的气体分配系统,其中该气体入口通过面向该挡板的一平坦表面中的中央开口提供处理气体,且此挡板的厚度在挡板的中心部分较厚而在挡板的外围部分则较薄。
5.如权利要求2的气体分配系统,其中该气体入口通过在上部充气室的外围区域开口的入口提供处理气体,且此挡板的厚度在挡板的中心部分较薄而在挡板的外围部分较厚。
6.如权利要求2的气体分配系统,其中该挡板在上部和下部表面之间有尺寸均匀的开口延伸,且此开口在挡板的中心部分有较长的长度而在挡板的外围部分有较短的长度。
7.如权利要求2的气体分配系统,其中该挡板在上部和下部表面之间有尺寸均匀的开口延伸,且此开口在挡板的中心部分有较短的长度而在挡板的外围部分有较长的长度。
8.如权利要求3的气体分配系统,其中该气体入口通过支持体的下表面中的中心开口提供处理气体,该开放空间在它的中心区域较小而在它的外围区域则较大。
9.如权利要求3的气体分配系统,其中该气体入口通过向该开放空间的外围区域开口的环形通道提供处理气体,且此簇射头在开放空间的外围区域中离仿形表面较近,而在开放空间的中心区域中离仿形表面则较远。
10.如权利要求1的气体分配系统,其中该支持体包括至少一个冷却剂通道,冷却剂可以在其中循环。
11.如权利要求1的气体分配系统,其中该仿形表面是与支持体成为整体的挡板部分的上部及/或下部的非平坦表面,且气体分配室包括在挡板部分之上的上部充气室和在挡板部分之下的下部充气室,而且上部充气室被支持体的上侧壁和对上侧壁作密封的盖板所包围,而下部充气室被支持体的下侧壁和对下侧壁作密封的簇射头所包围。
12.如权利要求2的气体分配系统,其中该支持体包括第二个供气口,它提供穿越挡板的处理气体。
13.如权利要求1的气体分配系统,其中该簇射头是等离子体室的簇射头电极。
14.如权利要求2的气体分配系统,其中的开口具有相同的直径,且在远离供气口位置处的开口有逐渐变短的长度。
15.如权利要求2的气体分配系统,其中在远离供气口位置处的开口逐步变大。
16.如权利要求2的气体分配系统,其中该挡板为锥体形状,而且开口的直径相同但开口在整个挡板中有不同的长度。
17.如权利要求2的气体分配系统,其中在挡板外围部分的开口比靠近挡板中心区域的开口有更大的直径。
18.如权利要求2的气体分配系统,其中至少某些开口的直径是随着开口的长度而变的。
19.如权利要求2的气体分配系统,其中至少某些出口是带斜坡的。
20.一种在反应室内处理基片的方法,其中气体分配系统包括:一支持部件,该支持部件有一供气口;一厚度不均匀的挡板,它被安排成使来自供气口的气体通过挡板中的几何形状受控的开口;以及一由支持部件支持的簇射头,以使通过挡板的气体通过簇射头中的开口,该方法包括:
将半导体基片提供到反应室;
使处理气体流过挡板上面的供气口,并且通过几何形状受控制的开口,以使处理气体在挡板下侧的出口处有所需的气体压力分布,此后该处理气体通过簇射头而流到半导体基片上面的一个区域;以及
用处理气体处理该晶片。
21.如权利要求20的方法,其中该供气口位于支持部件和挡板之间的充气室的中心部分,且挡板的形状为锥形并具有直径均匀的开口,但其长度自挡板中心到边缘逐步变短;而且簇射头是个簇射头电极,它给通过那里的处理气体以能量激励而成为等离子体状态,且跨越挡板底下的气压分布是均匀的。
22.如权利要求21的方法,还包括蚀刻半导体基片上的一层,这是通过向簇射头电极提供射频功率而使处理气体形成等离子体并与半导体基片上的暴露表面相接触而实现的。
23.如权利要求20的方法,其中该半导体基片包括硅晶片,该方法包括对晶片上物质的介电层、半导体层或导电层的干式蚀刻。
24.如权利要求20的方法,其中该方法包括在半导体基片上沉积一层材料。
25.如权利要求20的方法,其中簇射头包括簇射头电极,且支持部件包括温控部件,该方法包括通过将冷却剂流经温控部件而将热量从簇射头电极上排出。
26.如权利要求20的处理,包括蚀刻穿过基片上介电层的暴露部分而到达基片上的导电层或半导体层的开口。
27.如权利要求26的处理,其中的蚀刻步骤是作为制造镶嵌结构过程中的一部分进行的。
CNB008097348A 1999-06-30 2000-06-12 用于半导体处理的气体分配设备 Expired - Lifetime CN1165966C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/343,481 US6415736B1 (en) 1999-06-30 1999-06-30 Gas distribution apparatus for semiconductor processing
US09/343,481 1999-06-30

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100638748A Division CN100466161C (zh) 1999-06-30 2000-06-12 用于半导体处理的气体分配设备

Publications (2)

Publication Number Publication Date
CN1367933A true CN1367933A (zh) 2002-09-04
CN1165966C CN1165966C (zh) 2004-09-08

Family

ID=23346287

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2004100638748A Expired - Lifetime CN100466161C (zh) 1999-06-30 2000-06-12 用于半导体处理的气体分配设备
CNB008097348A Expired - Lifetime CN1165966C (zh) 1999-06-30 2000-06-12 用于半导体处理的气体分配设备

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB2004100638748A Expired - Lifetime CN100466161C (zh) 1999-06-30 2000-06-12 用于半导体处理的气体分配设备

Country Status (7)

Country Link
US (1) US6415736B1 (zh)
JP (1) JP2003504842A (zh)
KR (1) KR100726381B1 (zh)
CN (2) CN100466161C (zh)
AU (1) AU5483700A (zh)
TW (1) TW508624B (zh)
WO (1) WO2001003163A1 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
WO2009089794A1 (en) * 2008-01-14 2009-07-23 Beijing Nmc Co., Ltd. Plasma processing equipment and gas distribution apparatus thereof
CN101315880B (zh) * 2008-07-17 2010-06-02 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及采用该气体分配装置的等离子体处理设备
CN1719965B (zh) * 2004-07-09 2010-09-15 周星工程股份有限公司 气体分配器和包括气体分配器的设备
CN102054659B (zh) * 2009-11-05 2013-04-10 圆益Ips股份有限公司 基片处理装置及为此使用的覆盖元件
CN102024674B (zh) * 2009-09-16 2013-04-10 圆益Ips股份有限公司 基片处理装置及为此使用的覆盖构件
CN103789748A (zh) * 2014-01-22 2014-05-14 清华大学 一种面向工艺腔室气流分布调节的cvd设备喷淋头
CN104025246A (zh) * 2011-11-07 2014-09-03 朗姆研究公司 等离子体处理室的压强控制阀总成以及快速交替方法
CN110124596A (zh) * 2019-06-17 2019-08-16 郑州大工高新科技有限公司 一种用于气相沉积装置的气体均匀混合分布器

Families Citing this family (229)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6537420B2 (en) * 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
JP4592856B2 (ja) * 1999-12-24 2010-12-08 東京エレクトロン株式会社 バッフル板及びガス処理装置
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US20050026436A1 (en) * 2000-12-21 2005-02-03 Hogan Timothy J. Method for improving ash rate uniformity in photoresist ashing process equipment
EP1361604B1 (en) * 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
JP4025030B2 (ja) * 2001-04-17 2007-12-19 東京エレクトロン株式会社 基板の処理装置及び搬送アーム
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
ES2247370T3 (es) * 2001-08-31 2006-03-01 Apit Corp. Sa Procedimiento de fabricacion de polvos de granos compuestos y dispositivo para realizacion del procedimiento.
KR100447248B1 (ko) * 2002-01-22 2004-09-07 주성엔지니어링(주) Icp 에쳐용 가스 확산판
KR100445635B1 (ko) * 2002-03-04 2004-08-25 삼성전자주식회사 웨이퍼 제조용 가스분배판
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6830664B2 (en) * 2002-08-05 2004-12-14 Tegal Corporation Cluster tool with a hollow cathode array
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
KR100500246B1 (ko) 2003-04-09 2005-07-11 삼성전자주식회사 가스공급장치
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US6921437B1 (en) * 2003-05-30 2005-07-26 Aviza Technology, Inc. Gas distribution system
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
CN1669796B (zh) * 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
KR100550342B1 (ko) * 2004-02-24 2006-02-08 삼성전자주식회사 가스 산포 방법, 및 샤워 헤드, 및 샤워 헤드를 구비하는반도체 기판 가공 장치
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
JP5115798B2 (ja) * 2004-09-01 2013-01-09 アクセリス テクノロジーズ インコーポレーテッド フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
US8211230B2 (en) 2005-01-18 2012-07-03 Asm America, Inc. Reaction system for growing a thin film
KR101110635B1 (ko) * 2005-03-10 2012-02-15 삼성전자주식회사 반도체 제조장치
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7674393B2 (en) 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
FR2884044A1 (fr) * 2005-04-01 2006-10-06 St Microelectronics Sa Reacteur de depot et procede de determination de son diffuseur
US20060228490A1 (en) * 2005-04-07 2006-10-12 Applied Materials, Inc. Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
US8277169B2 (en) * 2005-06-16 2012-10-02 Honeywell International Inc. Turbine rotor cooling flow system
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7432513B2 (en) * 2005-10-21 2008-10-07 Asml Netherlands B.V. Gas shower, lithographic apparatus and use of a gas shower
WO2007142690A2 (en) * 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
JP4707588B2 (ja) * 2006-03-16 2011-06-22 東京エレクトロン株式会社 プラズマ処理装置及びそれに用いられる電極
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US20080000424A1 (en) * 2006-06-29 2008-01-03 Aviza Technology, Inc. Showerhead for a Gas Supply Apparatus
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
KR101355638B1 (ko) * 2006-11-09 2014-01-29 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
TWI406600B (zh) * 2007-04-30 2013-08-21 K C Tech Co Ltd 電漿產生裝置
US20080302303A1 (en) * 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
CN101355010B (zh) * 2007-07-26 2010-11-24 北京北方微电子基地设备工艺研究中心有限责任公司 进气装置及反应腔室
JP5143689B2 (ja) * 2007-09-27 2013-02-13 シャープ株式会社 気相成長装置及び半導体素子の製造方法
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8628621B2 (en) 2007-12-31 2014-01-14 Jusung Engineering Co., Ltd. Gas injector and film deposition apparatus having the same
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
DE102009011622B4 (de) * 2009-03-04 2018-10-25 Siltronic Ag Epitaxierte Siliciumscheibe und Verfahren zur Herstellung einer epitaxierten Siliciumscheibe
EP2409324A4 (en) * 2009-03-16 2013-05-15 Alta Devices Inc WAFER CARRIER TRACK
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20110097489A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
KR101197016B1 (ko) * 2010-02-19 2012-11-06 한국과학기술원 홀을 포함하는 전극 구조체 및 이를 이용한 공정 방법 및 공정 보정 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
KR101234594B1 (ko) * 2011-07-25 2013-02-19 피에스케이 주식회사 배플 및 이를 포함하는 기판 처리 장치
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
KR102451499B1 (ko) 2014-05-16 2022-10-06 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9885112B2 (en) * 2014-12-02 2018-02-06 Asm Ip Holdings B.V. Film forming apparatus
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
WO2016107714A1 (en) 2014-12-31 2016-07-07 Asml Holding N.V. Reticle cooling by non-uniform gas flow
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
KR102417934B1 (ko) 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10362795B2 (en) * 2015-09-14 2019-07-30 Alan Backus Collapsible food preparation device
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
TWI689619B (zh) * 2016-04-01 2020-04-01 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10483092B2 (en) * 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
JP2019109980A (ja) * 2017-12-15 2019-07-04 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN110484895B (zh) * 2018-05-14 2021-01-08 北京北方华创微电子装备有限公司 腔室组件及反应腔室
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11508593B2 (en) * 2018-10-26 2022-11-22 Applied Materials, Inc. Side storage pods, electronic device processing systems, and methods for operating the same
CN109576856B (zh) * 2018-11-24 2020-07-14 开氏石化股份有限公司 一种加弹机用进气装置及网络丝生产工艺
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11798803B2 (en) 2019-05-15 2023-10-24 Applied Materials, Inc. Dynamic multi zone flow control for a processing system
US11367594B2 (en) * 2019-11-27 2022-06-21 Applied Materials, Inc. Multizone flow gasbox for processing chamber
CN114075660B (zh) * 2020-08-14 2022-09-27 长鑫存储技术有限公司 喷淋头、化学气相沉积设备及其工作方法
TW202212618A (zh) * 2020-09-02 2022-04-01 美商應用材料股份有限公司 控制偶然沉積的噴頭設計
KR20240026715A (ko) * 2022-08-22 2024-02-29 한화정밀기계 주식회사 기판 처리 장치

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4263088A (en) 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
US4270999A (en) 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
US4297162A (en) 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4534816A (en) 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4612432A (en) 1984-09-14 1986-09-16 Monolithic Memories, Inc. Etching plasma generator diffusor and cap
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4780169A (en) 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH0741153Y2 (ja) 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4820371A (en) 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
JPH02188916A (ja) 1989-01-17 1990-07-25 Dainippon Screen Mfg Co Ltd 乾式表面処理装置
US5134965A (en) 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
FR2653633B1 (fr) * 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
DE4011933C2 (de) 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JP2851229B2 (ja) * 1992-10-19 1999-01-27 株式会社日立製作所 プラズマエッチングシステム及びプラズマエッチング方法
US5423936A (en) 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
JP2802865B2 (ja) * 1992-11-04 1998-09-24 日立電子エンジニアリング株式会社 プラズマcvd装置
US5439524A (en) 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
KR100264445B1 (ko) 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
US5472565A (en) 1993-11-17 1995-12-05 Lam Research Corporation Topology induced plasma enhancement for etched uniformity improvement
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5736457A (en) 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5716485A (en) 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5595602A (en) * 1995-08-14 1997-01-21 Motorola, Inc. Diffuser for uniform gas distribution in semiconductor processing and method for using the same
JP3181501B2 (ja) * 1995-10-31 2001-07-03 東京エレクトロン株式会社 処理装置および処理方法
US5819434A (en) * 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JPH1064831A (ja) 1996-08-20 1998-03-06 Fujitsu Ltd 気相成長装置
JPH1131678A (ja) * 1997-07-10 1999-02-02 Sony Corp 半導体装置の製造方法
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
KR100279963B1 (ko) 1997-12-30 2001-04-02 윤종용 반도체소자제조용가스디퓨져및이를설치한반응로
US6106663A (en) * 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1719965B (zh) * 2004-07-09 2010-09-15 周星工程股份有限公司 气体分配器和包括气体分配器的设备
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US8888949B2 (en) 2008-01-14 2014-11-18 Beijing Nmc Co., Ltd. Plasma processing equipment and gas distribution apparatus thereof
CN101488446B (zh) * 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
WO2009089794A1 (en) * 2008-01-14 2009-07-23 Beijing Nmc Co., Ltd. Plasma processing equipment and gas distribution apparatus thereof
US9540732B2 (en) 2008-01-14 2017-01-10 Beijing Nmc Co., Ltd. Plasma processing equipment and gas distribution apparatus thereof
CN101315880B (zh) * 2008-07-17 2010-06-02 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及采用该气体分配装置的等离子体处理设备
CN102024674B (zh) * 2009-09-16 2013-04-10 圆益Ips股份有限公司 基片处理装置及为此使用的覆盖构件
CN102054659B (zh) * 2009-11-05 2013-04-10 圆益Ips股份有限公司 基片处理装置及为此使用的覆盖元件
CN104025246A (zh) * 2011-11-07 2014-09-03 朗姆研究公司 等离子体处理室的压强控制阀总成以及快速交替方法
CN104025246B (zh) * 2011-11-07 2017-04-19 朗姆研究公司 等离子体处理室的压强控制阀总成以及快速交替方法
CN103789748A (zh) * 2014-01-22 2014-05-14 清华大学 一种面向工艺腔室气流分布调节的cvd设备喷淋头
CN103789748B (zh) * 2014-01-22 2016-04-06 清华大学 一种面向工艺腔室气流分布调节的cvd设备喷淋头
CN110124596A (zh) * 2019-06-17 2019-08-16 郑州大工高新科技有限公司 一种用于气相沉积装置的气体均匀混合分布器

Also Published As

Publication number Publication date
AU5483700A (en) 2001-01-22
CN1577729A (zh) 2005-02-09
KR100726381B1 (ko) 2007-06-08
JP2003504842A (ja) 2003-02-04
CN1165966C (zh) 2004-09-08
KR20020027375A (ko) 2002-04-13
US6415736B1 (en) 2002-07-09
TW508624B (en) 2002-11-01
CN100466161C (zh) 2009-03-04
WO2001003163A1 (en) 2001-01-11

Similar Documents

Publication Publication Date Title
CN1165966C (zh) 用于半导体处理的气体分配设备
KR100697158B1 (ko) 반도체 처리공정을 위한 가스 분산 장치 및 기판의 처리 방법
CN108962714B (zh) 用于经改善的前驱物流的半导体处理腔室
US11515179B2 (en) Semiconductor processing chamber multistage mixing apparatus
US6624082B2 (en) Systems and methods for two-sided etch of a semiconductor substrate
US20050011447A1 (en) Method and apparatus for delivering process gas to a process chamber
US20060260749A1 (en) Substrate processing apparatus and substrate processing method
CN101006565A (zh) 均匀去除有机材料的方法
JP2003503840A (ja) 高位置固定均一化リング
US7879182B2 (en) Shower plate, plasma processing apparatus, and product manufacturing method
US11574814B2 (en) Substrate and substrate processing method
US20010009177A1 (en) Systems and methods for two-sided etch of a semiconductor substrate
EP0512677A2 (en) Plasma treatment method and apparatus
KR20210039759A (ko) 기판 처리 시스템

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20040908