CN101006565A - 均匀去除有机材料的方法 - Google Patents

均匀去除有机材料的方法 Download PDF

Info

Publication number
CN101006565A
CN101006565A CNA2005800285151A CN200580028515A CN101006565A CN 101006565 A CN101006565 A CN 101006565A CN A2005800285151 A CNA2005800285151 A CN A2005800285151A CN 200580028515 A CN200580028515 A CN 200580028515A CN 101006565 A CN101006565 A CN 101006565A
Authority
CN
China
Prior art keywords
gas
organic material
dielectric layer
plasma
process chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2005800285151A
Other languages
English (en)
Inventor
R·V·安纳普拉加达
O·图尔梅尔
K·塔克施塔
L·郑
T·S·崔
D·R·皮尔克尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101006565A publication Critical patent/CN101006565A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

提供了一种去除衬底上的有机材料的方法。将衬底置于等离子体加工室中。向等离子体加工室内的内部区域提供第一气体。向等离子体加工室的外部区域提供第二气体,其中,上述外部区域包围上述内部区域,且第二气体具有含碳成分,其中,第二气体的该含碳成分的浓度高于第一气体的该含碳成分的浓度。同时从第一和第二气体中生成了等离子体。使用该生成的等离子体去除了部分或所有有机材料。

Description

均匀去除有机材料的方法
背景技术
半导体加工包括淀积过程(如金属、电介质和半导体材料的化学气相淀积(CVD))、蚀刻以上这些层、灰化光刻胶掩模层,等等。一般在真空室中进行上述半导体加工,其中,用加工气体来加工衬底(如半导体晶圆、平板显示器衬底,等等)。可通过气体分配系统(如喷头、气体分配环、气体注入器等)向真空室内部提供加工气体。在美国专利5134965、5415728、5522934、5614055、5772771、6013155和6042687中公开了具有多个气体分配系统的反应器。
在进行蚀刻时,通常用等离子体蚀刻来蚀刻金属、电介质和半导体材料。等离子体蚀刻反应器通常包括支撑底部电极上的硅晶圆的基座、将加工气体激发到等离子体状态的能量源以及将加工气体提供给真空室的加工气体源。
在集成电路制造中,共同的要求是在电介质材料中蚀刻开口(如接触孔和通孔)。电介质材料包括掺有杂质的硅氧化物(如氟硅玻璃(FSG))、未掺有杂质的硅氧化物(如二氧化硅)、硅玻璃(如硼磷硅玻璃(BPSG)和磷硅玻璃(PSG))、掺有或不掺有杂质的热生长的硅氧化物、掺有或不掺有杂质的TEOS淀积的硅氧化物等等。电介质掺杂物包括硼、磷和/或砷。电介质可设置在导电层或半导体层上,如多晶硅、金属(如铝、铜、钛、钨、钼或它们的合金)、氮化物(如氮化钛)、金属硅化物(如硅化钛、硅化钴、硅化钨、硅化钼,等等)。美国专利5013398公开了一种将平行板等离子体反应器用于在氧化硅中蚀刻开口的等离子体蚀刻技术。
美国专利5736457描述了单和双“大马士革”金属化工艺。在单“大马士革”方法中,在各个相互独立的步骤中形成了通孔和导体,其中,将用于通孔或导体的金属化图案蚀刻到电介质层中,将金属层填入电介质层中蚀刻的槽或通孔,并通过化学机械研磨(CMP)或回蚀工艺除去过多的金属。在双“大马士革”方法中,将用于通孔和导体的金属化图案蚀刻到电介质层中,并在单个金属填充和除去过多金属的过程中用金属填充蚀刻的槽和通孔开口。
半导体加工中的各种方法(如大马士革金属化工艺)要求用于除去衬底上的有机材料的方法,如剥离光刻胶掩模、蚀刻有机底部抗反射涂层(BARC)、除去通孔塞或蚀刻有机电介质层。
发明内容
为实现之前的目标,并根据本发明的目的,提供了一种除去衬底上的有机材料的方法。将衬底放置在等离子体加工室中。向等离子体加工室中的内部区域提供第一气体。向等离子体加工室的外部区域提供第二气体,其中,上述外部区域包围上述内部区域,且上述第二气体包含含碳成分,其中,上述第二气体的该含碳成分的浓度高于上述第一气体中的该含碳成分的浓度。从第一气体和第二气体中同时生成等离子体。使用该生成的等离子体除去了部分有机材料。
在本发明的另一种形式中,提供了一种用于除去衬底上的光刻胶掩模的方法。将衬底放置在等离子体加工室中。向等离子体加工室中的内部区域提供第一气体。向等离子体加工室的外部区域提供第二气体,其中,上述外部区域包围上述内部区域,且上述第一气体不同于上述第二气体。从上述第一和上述第二气体同时生成等离子体。剥离了光刻胶掩模,其中,通过源于上述第一气体和第二气体的等离子体剥离了光刻胶掩模。
在本发明的另一种形式中,提供了一种用于除去衬底上的双大马士革结构的通孔中的塞的方法。将设有电介质层的衬底(具有包含通孔塞的通孔)放置在等离子体加工室中。向等离子体加工室中的内部区域提供第一气体。向等离子体加工室的外部区域提供第二气体,其中,上述外部区域包围上述内部区域,且上述第一气体不同于上述第二气体。从第一气体和第二气体中同时生成了等离子体。通过源于上述第一和第二气体的等离子体除去了以上通孔塞。
在以下的具体实施方式部分和附图中,将更详细地描述本发明的这些和其他特征。
附图说明
在附图中,以举例而非限制的方式示出了本发明,并且,在这些图中,相似的附图标记代表相似的元件,其中:
图1是本发明的一个实施例的流程图。
图2是本发明的一个用于剥离的实施例的流程图。
图3A-C是根据图2所示进行加工的衬底的截面图。
图4A-B是可用作控制器的计算机系统的示意图。
图5是可用于实施本发明的加工室的示意图。
图6是气体分配板的仰视图。
图7是本发明的一个用于除去通孔塞的实施例的流程图。
图8是本发明的一个用于打开BARC层的实施例的流程图。
具体实施方式
现在,将结合附图中示出的本发明的一些优选实施例来详细描述本发明。在以下的描述中,给出了大量的具体细节来使读者透彻理解本发明。然而,对本领域技术人员而言,显而易见,无需部分或全部这些具体细节便可实施本发明。在其它实例中,为避免不必要地干扰对本发明的说明,未详细描述众所周知的加工步骤和/或结构。
为促进理解,图1是本发明的一个实施例的高级流程图。将有机材料设置在衬底上(步骤108)。该有机材料可以是光刻胶、BARC、通孔塞或有机电介质层。在该有机材料为光刻胶、BARC或通孔塞的情况下,电介质层位于衬底和有机材料之间。将衬底放置在等离子体加工室中(步骤112)。向等离子体加工室的内部区域提供第一气体(步骤116)。向等离子体加工室的外部区域提供第二气体(步骤120)。上述外部区域包围上述内部区域。该第二气体包含了含碳成分。第二气体中的该含碳成分的浓度高于第一气体中该含碳成分的浓度。通过从第一气体形成等离子体和从第二气体形成等离子体,从第一和第二气体同时生成了等离子体(步骤124)。该等离子体除去了一部分有机材料(步骤128)。尽管提供第一气体(步骤116)和提供第二气体(步骤120)在图中是依次示出的,但是,可以按相反顺序或同时进行上述步骤。
在说明书和权利要求中,将第一气体定义成具有单一成分的气体或具有多种成分而形成气体混合物的气体。仅当第一气体和第二气体具有不同成分,或第一气体和第二气体含有相同成分,但组成比例不同时,第一气体才不同于第二气体。不同流速的具有相同比例的相同成分的气体不是不同的气体。
在本发明的一个优选实施例中,等离子体加工室使用受限的等离子体系统,该系统将等离子体限制在晶圆上的区域。这种受限的等离子体系统可以使用由Eric Lenz在2000年2月1日授予的、题为“用于在等离子体加工室中定位封闭环的基于凸轮的装置(“CAM-BASED ARRANGEMENT FOR POSITIONING CONFINEMENTRINGS IN A PLASMA PROCESSING CHAMBER”)”的美国专利6019060中公开的封闭环,此处通过引用将其全部内容包含于本文之中。在由加州弗莱蒙特的Lam研究公司生产的2300 Exelan加工室中使用了该等离子体限制系统。
不受限于理论,可以相信,在除去衬底上的有机材料的过程中,衬底边缘处出现的负载效应(loading effect)可能是因为相对于衬底的中心,衬底的外周界处缺乏可消耗的有机材料,而这导致了有机材料的非均匀去除,其中,越靠近衬底边缘的有机材料的去除速度越快。结果,导致了不均匀的蚀刻,或是对有机材料之下的层造成了破坏。已发现,在衬底边缘处提供更高浓度的含碳成分可以实现对有机材料的更为均匀的去除。
可以在本发明中使用的某些含碳成分为CH4、C2H4、CO、CH3F、CH2F2和CF4。对于某些应用,最好使用不含氟的含碳成分。
可用于除去有机成分的一些气体是:O2、O2/N2、O2/CO、O2、NH3、N2/H2、N2O和H2O。
剥离
本发明工艺的一个实例实现了对光刻胶掩模的均匀剥离。图2是该实例中所使用工艺的流程图。在该实例中,在衬底上形成了低k值的电介质层(最好是有机硅玻璃)。图3A-C是根据图2的工艺的低k值电介质层(k<3.0)的示意的侧视图。可以在衬底308上形成低k值的电介质层304(步骤204),如图3A所示。衬底308可以是硅晶圆或另一种材料或晶圆上的层的一部分。在低k值电介质层304上形成了覆盖层312。覆盖层312可以是硅氧化物。一般来说,该覆盖层是电介质材料的保护层。在化学机械抛光(CMP)和其它过程中,覆盖层312保护低k值的电介质层304。覆盖层312可以是低k值电介质,因为该覆盖层是终端产品的一部分。该覆盖层最好是基于硅氧化物的材料。在覆盖层312上淀积了抗反射涂层(ARC)314。抗反射涂层(ARC)314可以是有机的底部抗反射涂层(BARC)或无机的电介质抗反射涂层(DARC)。在ARC314上设置带有图案的光刻胶掩模316(步骤208)。该带有图案的光刻胶掩模316具有孔320。可通过将光刻胶层暴露在光图案下和对其进行蚀刻来形成该带有图案的光刻胶掩模。可使用其它形成带有图案的光刻胶掩模的方法。衬底308可具有接触层308和阻挡层310。
将衬底308放置在加工室中,在其中,对低k值电介质层进行了蚀刻(步骤212)。
在本发明的该实例中,使用由加州的弗莱蒙特的Lam研究公司生产的2300 Exelan加工室作为200mm晶圆的蚀刻加工室,同时使用了上述的具有调整气体的双气体分配系统。图5是该系统500的示意图。在该实例中,等离子体加工室500包括封闭环502、气体分配板504、较低电极508、气体源510和排气泵520。在等离子体加工室500中,衬底晶圆308(其上淀积了电介质层)位于较低电极508上。较低电极508集成了用于夹持衬底晶圆308的合适的衬底夹具机构。反应器顶部528集成了与较低电极508正对的气体分配板504。该气体分配板形成了接地的较高电极。气体分配板504、较低电极508和封闭环502确定了受限的等离子体积540。
图6是气体分配板504的仰视图。该气体分配板包括内部区域板512和外部区域板516。在内部区域板512和外部区域板516中形成了多个端口518。可以在各种结构中设置端口518,此处,所示结构主要用于说明每个区域板均存在多个端口518。内部区域板512和外部区域板516彼此间隔设置,并与较低电极508上的晶圆580相对。气体源510可以是向内部区域板516和外部区域板518提供不同气体的任何气体源。
由气体源510通过内部区域板512和外部区域板516的端口518向上述受限的等离子体积提供气体,并通过封闭环502和排气泵520的排气端口将气体从受限的等离子体积中排放除去。排气泵520为等离子体加工室形成了气体出口。RF源548电连接到较低电极508。室壁552定义了等离子体封闭区,在该区域中设置了封闭环502、气体分配板504和较低电极508。RF源548可包括工作于27MHz的高频电源和工作于2MHz的低频电源。可以将气体分配板504接地。可存在将RF源连接到电极的不同组合。将控制器535可控地连接到RF源548、排气泵520和气体源510。
图4A和4B示出了计算机系统800,该系统适于作为控制器535使用。图4A示出了可用作控制器340的计算机系统的一种可能的物理形式。当然,该计算机系统可具有包括从集成电路、印刷电路板、小型手持式设备到大型的超级计算机在内的许多物理形式。计算机系统800包括监视器802、显示器804、机箱806、光盘驱动器808、键盘810和鼠标812。盘814是一种计算机可读介质,用于向计算机系统800发送数据或从计算机系统800接收数据。
图4B是计算机系统800的框图。多种子系统与系统总线820相连。处理器822(也称作中央处理单元,或CPU)与包括内存824在内的存储器件相连。内存824包括随机存取存储器(RAM)和只读存储器(ROM)。众所周知,ROM用于向CPU单向地传输数据和指令,而RAM一般用来以双向的方式传输数据和指令。这两种内存均包括以下所述的任何合适类型的计算机可读介质。也以双向的方式将固定盘826耦合到CPU 822;它提供了额外的数据存储容量,并且,也包括以下所述的任何计算机可读介质。可以用固定盘826来存储程序、数据,等等,并且,它通常是辅助存储介质(如硬盘),其速度比主存储介质慢。应当懂得,在合适的情况下,可以以标准方式将保留在固定盘826内的信息作为虚拟内存引入内存824。可拆卸盘814可以采取以下所述的任何形式的计算机可读介质。
CPU 822也耦合到多种输入/输出设备,如显示器804、键盘810、鼠标812和扬声器830。大体上,输入/输出设备可以是以下设备中的任何一项:视频显示器、跟踪球、鼠标、键盘、麦克风、触摸显示器、传感器式读卡器、磁带或纸带阅读器、图形输入板、触针、语音或字迹识别器、生物特征阅读器或其它计算机。可使用网络接口840任选地将CPU 822耦合到另一个计算机或电信网络。使用这样的网络接口,可以考虑在完成上述方法步骤期间CPU从以上网络接收信息或向该网络输出信息。并且,可以仅通过CPU 822来执行本发明的方法实施例,或是通过网络(如因特网)连同共享一部分处理的远程CPU来执行这些实施例。
此外,本发明的实施例还涉及具有计算机可读介质(其上具有用于进行各种计算机实施的操作的计算机代码)的计算机存储产品。该介质和机器码可以是专为本发明设计和创建的,或者,它们可以是计算机软件领域技术人员所熟知的和可获得的。计算机可读介质的实例包括但不限于:磁介质(如硬盘、软盘和磁带);光介质(如CD-ROM和全息器件);磁-光介质(如光软盘);以及专门配置成用于存储和执行程序代码的硬件设备,如专用集成电路(ASIC)、可编程逻辑器件(PLD)和ROM以及RAM设备。计算机代码的例子包括机器码(由编译器产生的)和包含由使用解释器的计算机执行的更高级代码的文件。计算机可读介质也可以是由包含在载波中且代表可由加工器执行的指令序列的计算机数据信号所传送的计算机代码。
可以用等离子体干蚀刻来蚀刻低k值的电介质层304,该层在带有图案的光刻胶掩模316中的孔320之下形成了开口324,如图3B所示。在低k值的电介质层蚀刻中除去了一些带有图案的光刻胶掩模316。这样的低k值电介质蚀刻可使用化学蚀刻(如使用基于氟的蚀刻剂)。
然后,提供均匀的剥离加工来在不破坏低k值电介质层的情况下除去光刻胶掩模316。为提供均匀的剥离加工,向内部区域512提供第一气体(步骤216)。在该实例中,该第一气体为纯净的O2。向外部区域516提供第二气体(步骤220)。在该实例中,该第二气体为用于剥离的O2和作为含碳气体的C2H4的混合物。从该第一和第二气体中生成等离子体(步骤224)。将这些等离子体用于提供均匀的光刻胶剥离(步骤228)。
因此,在该实例中,未向内部区域直接提供含碳气体。在该实例的一种实施方式中,双气体馈送装置将氧气流在内部区域和外部区域之间进行分配。然后,将调整气体C2H4添加至外部区域。在一种示例的配置中,将室压设为20毫托。较低电极在27MHz时提供600Watt的功率,在2MHz时提供0Watt的功率。将300sccm的O2提供给加工室,并且,将C2H4调整气体提供给外部区域。将这种情况维持60秒。
一种优选的剥离加工配置是将室压设为0与1000毫托之间,同时,将低频(即2MHz)电源功率设置为0-1000Watt之间,将高频(即27MHz)电源功率设置为50-1000Watt之间,将氧气流设置为20-2000sccm之间,并将加入外部区域的C2H4设置为10-2000sccm之间。
一种更为优选的剥离加工配置是将室压设为1与500毫托之间,同时,将低频(即2MHz)电源功率设置为0-500Watt之间,将高频(即27MHz)电源功率设置为100-900Watt之间,将氧气流设置为100-1000sccm之间,并将加入外部区域的C2H4设置为20-1000sccm之间。
在另一个实施例中,第一气体为纯净的O2,第二气体为纯净的C2H4。加载是足够的,以致于足量的O2从内部区域移动至外部区域来均匀地剥离光刻胶。
在一些蚀刻加工中,蚀刻残渣会再次淀积。因此,希望提供少量的氟来帮助除去蚀刻残渣。在这种情况下,上述含碳成分可以是CH3F。在一个优选实施例中,仅向外部区域提供CH3F。尽管来自CH3F的氟仅直接提供给外部区域,但是,足量的氟将从外部区域移动至内部区域来减少掩模。
回蚀
本发明工艺的另一个实例提供了均匀的通孔塞除去过程。图7是该实例中使用的加工的流程图。在衬底上淀积了电介质层,且在电介质层中形成了通孔(步骤704)。该衬底可以是硅晶圆或另一种材料或是晶圆上的那个层的一部分。在通孔中形成了有机材料的通孔塞(步骤708)。然后,在电介质层上设置槽形图案的光刻胶掩模。
将衬底放置在加工室中,在该室中,对电介质层进行了蚀刻(步骤712)。可以使用上述的等离子体加工室或其它等离子体加工室。用等离子体干蚀刻来蚀刻电介质层,以形成槽。用通孔塞来在槽蚀刻中减少刻面(faceting)。在槽蚀刻过程中,可以在通孔顶部周围形成栅栏结构。在槽蚀刻过程中,除去了一些带有图案的光刻胶掩模。
用一种回蚀工艺来降低通孔塞的高度。在槽蚀刻过程中,通过提供正确的通孔高度栅栏结构,减少或消除了通孔的刻面。因此,在通孔蚀刻与有机BARC填充之后和形成槽图案之前降低了通孔塞的高度。为提供回蚀加工,向内部区域提供第一气体(步骤716)。在该实例中,第一气体为纯净的氧气。向外部区域提供第二气体(步骤720)。在该实例中,第二气体为纯净的C2H4。从第一和第二气体中生成了等离子体(步骤724)。用这些等离子体来去除通孔塞(步骤728)。也可以用该加工来剥离剩余的光刻胶。
该工艺提供了均匀的塞去除,其优点在于,它在双大马士革结构中实现了一致的栅栏结构和不存在刻面的通孔。
因此,在该实例中,未直接向内部区域提供含碳气体。在该实例的一种实施方式中,双气体馈送装置将氧气流在内部区域和外部区域之间进行分配。然后,将调整气体C2H4添加至外部区域。在一种示例的配置中,将室压设为400毫托。较低电极在27MHz时提供0Watt的功率,在2MHz时提供600Watt的功率。将300sccm的O2提供给加工室,并且,将C2H4调整气体提供给外部区域。将这种情况维持60秒。
一种优选的均匀的塞除去加工配置是将室压设为0与1000毫托之间,同时,将低频(即2MHz)电源功率设置为50-1000Watt之间,将高频(即27MHz)电源功率设置为0-1000Watt之间,将氧气流设置为20-2000sccm之间,并将加入外部区域的C2H4设置为10-2000sccm之间。
一种更为优选的均匀的塞除去加工配置是将室压设为1与500毫托之间,同时,将低频(即2MHz)电源功率设置为0-500Watt之间,将高频(即27MHz)电源功率设置为100-900Watt之间,将氧气流设置为100-1000sccm之间,并将加入外部区域的C2H4设置为20-1000sccm之间。
打开BARC
本发明工艺的另一个实例提供了均匀的BARC打开工艺。图8是该实例中所使用的加工的流程图。在该实例中,在衬底上形成了电介质层。在衬底上淀积了电介质层(步骤804)。该衬底可以是硅晶圆或另一种材料或可以是晶圆上的那个层的一部分。在该电介质层上形成BARC层(步骤808)。然后,在BARC层上设置带有图案的掩模(步骤810)。
将衬底放置在加工室中(步骤812)。可以使用上述等离子体加工室或其它等离子体加工室。然后,提供了均匀的BARC打开加工来打开BARC层。为提供均匀的BARC打开加工,向内部区域提供第一气体(步骤816)。在该实例中,第一气体为N2/H2。向外部区域提供第二气体(步骤820)。在该实例中,第二气体为纯净的C2H4。从第一和第二气体生成了等离子体(步骤824)。使用这些等离子体来均匀地打开BARC层(步骤828)。
因此,在该实例中,未直接向内部区域提供含碳气体。在该实例的一种实施方式中,双气体馈送装置将氧气流在内部区域和外部区域之间进行分配。然后,将调整气体C2H4添加至外部区域。在一种示例的配置中,将室压设为60毫托。较低电极在27MHz时提供600Watt的功率,在2MHz时提供0Watt的功率。将300sccm的O2提供给加工室,并且,将C2H4调整气体提供给外部区域。将这种情况维持60秒。
一种优选的BARC打开加工配置是将室压设为0与1000毫托之间,同时,将低频(即2MHz)电源功率设置为0-1000Watt之间,将高频(即27MHz)电源功率设置为50-1000Watt之间,将氧气流设置为20-2000sccm之间,并将加入外部区域的C2H4设置为10-2000sccm之间。
一种更为优选的BARC打开加工配置是将室压设为1与500毫托之间,同时,将低频(即2MHz)电源功率设置为0-500Watt之间,将高频(即27MHz)电源功率设置为100-900Watt之间,将氧气流设置为100-1000sccm之间,并将加入外部区域的C2H4设置为20-1000sccm之间。
其它实例可以使用本发明的加工来通过向外部区域提供更高浓度的含碳成分来均匀地蚀刻SiLK层。这便改善了槽的均匀性,且这种均匀性的改善减少了通孔中的过度蚀刻。
可以找到不同于含碳成分的其他成分,其中,该含碳成分减少了加载(loading),以减缓对晶圆的外部的蚀刻,以提供更为均匀的蚀刻。
在其它实施例中,可使用其它气体分配实施例来向内部区域提供第一气体和向包围内部区域的外部区域提供第二气体,其中,第一气体不同于第二气体。例如,外部区域的各个端口可以提供不同的成分气体,这些气体组成了提供给外部区域的第二气体,和/或内部区域的各个端口可以提供不同的成分气体,这些气体组成了第一气体,使得第一气体不同于第二气体。然而,最好在将第一气体引入加工室之前将第一气体的成分气体在气体分配系统中进行组合,并在将第二气体引入加工室之前将第二气体的成分气体在气体分配系统中进行组合。
尽管结合几个优选实施例描述了本发明,但是,也存在这些实施例的落在本发明范围内的种种变更、修改、置换和替代性的等同物。应当注意,存在许多其他实施本发明的方法和装置的方式。因此,期望将以下所附的权利要求解释成包括落在本发明的真实精神和范围内的所有上述变更、修改、置换和替代性的等同物。

Claims (16)

1.一种用于去除衬底上的有机材料的方法,包括:
将所述衬底放置在等离子体加工室中;
向所述等离子体加工室内的内部区域提供第一气体;
向所述等离子体加工室的外部区域提供第二气体,其中,所述外部区域包围所述内部区域,且所述第二气体具有含碳成分,其中,所述第二气体的所述含碳成分的浓度高于所述第一气体中的所述含碳成分的浓度;
同时从所述第一和第二气体中生成等离子体;
使用所述生成的等离子体去除部分所述有机材料。
2.如权利要求1所述的方法,其中,所述内部区域包含有机材料去除气体。
3.如权利要求1-2中任一项所述的方法,其中,所述电介质层位于所述有机材料和所述衬底之间,其中,所述的去除部分所述有机材料去除了所述电介质层上的某些所述有机材料。
4.如权利要求1-3中任一项所述的方法,其中,所述第一气体不具有含碳成分。
5.如权利要求1-4中任一项所述的方法,其中,所述外部区域与所述晶圆边缘相邻,且所述内部区域与所述晶圆的被与所述外部区域相邻的所述晶圆边缘包围的内部相邻。
6.如权利要求3-5中任一项所述的方法,其中,所述电介质层是低k值电介质。
7.如权利要求1-6中任一项所述的方法,其中,所述含碳成分至少是CH4、C2H4、CO、CH3F、CH2F2、和CF4中的其中之一。
8.如权利要求2-7中任一项所述的方法,其中,所述有机材料去除气体至少是O2、O2/N2、O2/CO、O2、NH3、N2/H2、N2O以及H2O中的其中之一。
9.如权利要求1-8中任一项所述的方法,其中,所述有机材料形成了光刻胶掩模,且所述去除部分所述有机材料剥离了所述光刻胶掩模。
10.如权利要求3-9中任一项所述的方法,其中,所述电介质层是有机硅玻璃层。
11.如权利要求3-10中任一项所述的方法,其中,电介质层具有多个通孔,且所述有机材料形成了通孔塞,该方法还包括在将所述衬底放置在所述加工室中之后在所述电介质层中蚀刻多个槽,其中,所述去除部分所述有机材料降低了所述通孔中的有机塞的高度。
12.如权利要求11所述的方法,其中,所述蚀刻多个槽创建了栅栏结构,其中,所述去除部分所述有机材料还去除了所述栅栏结构。
13.如权利要求1-12中任一项所述的方法,其中,所述有机材料是BARC层,其中,光刻胶掩模在该BARC层上,其中,所述去除部分所述有机材料打开了所述BARC层。
14.如权利要求1-13中任一项所述的方法,其中,所述有机材料是SiLK电介质层,其中,光刻胶掩模在该SiLK电介质层上,且其中去除部分所述SiLK将一些特征刻入到所述SiLK电介质层之中。
15.一种用于剥离衬底上的光刻胶掩模的方法,包括:
将所述衬底放置在等离子体加工室中;
向所述等离子体加工室内的内部区域提供第一气体;
向所述等离子体加工室的外部区域提供第二气体,其中,所述外部区域包围所述内部区域,且所述第一气体不同于所述第二气体;
从所述第一和第二气体中同时生成等离子体;
剥离所述光刻胶掩模,其中,通过源于所述第一气体和第二气体的所述等离子体剥离了所述光刻胶掩模。
16.一种用于去除衬底上的双大马士革结构的通孔中的塞的方法,包括:
将包括具有通孔塞的通孔的电介质层的衬底放置在等离子体加工室中;
向所述等离子体加工室中的内部区域提供第一气体;
向所述等离子体加工室的外部区域提供第二气体,其中,所述外部区域包围所述内部区域,且所述第一气体不同于所述第二气体;
从所述第一气体和第二气体中同时生成等离子体;
通过源于所述第一气体和所述第二气体的所述等离子体降低所述通孔塞的高度。
CNA2005800285151A 2004-06-25 2005-06-08 均匀去除有机材料的方法 Pending CN101006565A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/877,222 US7534363B2 (en) 2002-12-13 2004-06-25 Method for providing uniform removal of organic material
US10/877,222 2004-06-25

Publications (1)

Publication Number Publication Date
CN101006565A true CN101006565A (zh) 2007-07-25

Family

ID=35169678

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2005800285151A Pending CN101006565A (zh) 2004-06-25 2005-06-08 均匀去除有机材料的方法

Country Status (6)

Country Link
US (1) US7534363B2 (zh)
JP (1) JP4914830B2 (zh)
KR (1) KR101171813B1 (zh)
CN (1) CN101006565A (zh)
TW (1) TWI375269B (zh)
WO (1) WO2006007355A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101459073B (zh) * 2007-12-13 2010-06-09 中芯国际集成电路制造(上海)有限公司 蚀刻底层抗反射层的方法
CN106811752A (zh) * 2015-12-02 2017-06-09 中微半导体设备(上海)有限公司 形成双大马士革结构的方法、等离子体刻蚀方法

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US7396769B2 (en) * 2004-08-02 2008-07-08 Lam Research Corporation Method for stripping photoresist from etched wafer
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
JP5047644B2 (ja) * 2007-01-31 2012-10-10 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US7709370B2 (en) * 2007-09-20 2010-05-04 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US8618663B2 (en) * 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US8084862B2 (en) * 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
WO2009039551A1 (en) * 2007-09-26 2009-04-02 Silverbrook Research Pty Ltd Method of removing photoresist
US20090078675A1 (en) * 2007-09-26 2009-03-26 Silverbrook Research Pty Ltd Method of removing photoresist
JP5474291B2 (ja) * 2007-11-05 2014-04-16 株式会社アルバック アッシング装置
US8721836B2 (en) * 2008-04-22 2014-05-13 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
WO2012011480A1 (ja) * 2010-07-21 2012-01-26 東京エレクトロン株式会社 層間絶縁層形成方法及び半導体装置
CN104345581B (zh) * 2013-07-23 2018-07-31 中微半导体设备(上海)有限公司 一种等离子体去除光刻胶的方法
US10978302B2 (en) 2017-11-29 2021-04-13 Lam Research Corporation Method of improving deposition induced CD imbalance using spatially selective ashing of carbon based film
JP7223507B2 (ja) * 2018-03-29 2023-02-16 東京エレクトロン株式会社 エッチング方法
US10748769B2 (en) * 2018-05-09 2020-08-18 Tokyo Electron Limited Methods and systems for patterning of low aspect ratio stacks

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4275752A (en) 1978-09-22 1981-06-30 Collier Nigel A Fluid flow apparatus and method
US4369031A (en) 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
US4835114A (en) 1986-02-19 1989-05-30 Hitachi, Ltd. Method for LPCVD of semiconductors using oil free vacuum pumps
US4812201A (en) 1986-07-25 1989-03-14 Tokyo Electron Limited Method of ashing layers, and apparatus for ashing layers
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4980204A (en) 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
US5313982A (en) 1988-07-08 1994-05-24 Tadahiro Ohmi Gas supply piping device for a process apparatus
US5200388A (en) 1988-05-13 1993-04-06 Oki Electric Industry Co., Ltd. Metalorganic chemical vapor deposition of superconducting films
US5134965A (en) 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5037666A (en) 1989-08-03 1991-08-06 Uha Mikakuto Precision Engineering Research Institute Co., Ltd. High-speed film forming method by microwave plasma chemical vapor deposition (CVD) under high pressure
US5077875A (en) 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
JPH03281780A (ja) 1990-03-30 1991-12-12 Hitachi Ltd Cvd装置
US5013398A (en) 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
US6251792B1 (en) 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US5269847A (en) 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
US5324386A (en) 1991-03-19 1994-06-28 Fujitsu Limited Method of growing group II-IV mixed compound semiconductor and an apparatus used therefor
US5288325A (en) 1991-03-29 1994-02-22 Nec Corporation Chemical vapor deposition apparatus
JP2894658B2 (ja) 1992-01-17 1999-05-24 株式会社東芝 ドライエッチング方法およびその装置
JP2797233B2 (ja) 1992-07-01 1998-09-17 富士通株式会社 薄膜成長装置
JPH06295862A (ja) 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
EP0602595B1 (en) 1992-12-15 1997-07-23 Applied Materials, Inc. Vaporizing reactant liquids for CVD
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5950693A (en) 1993-04-28 1999-09-14 Advanced Delivery & Chemical Systems, Ltd. Bulk chemical delivery system
US5916369A (en) 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH07245193A (ja) 1994-03-02 1995-09-19 Nissin Electric Co Ltd プラズマ発生装置及びプラズマ処理装置
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
GB9410567D0 (en) 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5736457A (en) 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5702530A (en) 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
KR100201386B1 (ko) 1995-10-28 1999-06-15 구본준 화학기상증착장비의 반응가스 분사장치
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5993555A (en) 1997-01-16 1999-11-30 Seh America, Inc. Apparatus and process for growing silicon epitaxial layer
US5865205A (en) 1997-04-17 1999-02-02 Applied Materials, Inc. Dynamic gas flow controller
JPH1116888A (ja) 1997-06-24 1999-01-22 Hitachi Ltd エッチング装置及びその運転方法
US6296026B1 (en) 1997-06-26 2001-10-02 Advanced Technology Materials, Inc. Chemical delivery system having purge system utilizing multiple purge techniques
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6001172A (en) 1997-08-05 1999-12-14 Advanced Technology Materials, Inc. Apparatus and method for the in-situ generation of dopants
US6039074A (en) 1997-09-09 2000-03-21 Novellus Systems, Inc. Pressure-induced shut-off valve for a liquid delivery system
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6007330A (en) 1998-03-12 1999-12-28 Cosmos Factory, Inc. Liquid precursor delivery system
US6315858B1 (en) 1998-03-18 2001-11-13 Ebara Corporation Gas polishing apparatus and method
US6296711B1 (en) 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
US6015595A (en) 1998-05-28 2000-01-18 Felts; John T. Multiple source deposition plasma apparatus
US6019060A (en) 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US6192919B1 (en) 1998-06-26 2001-02-27 Advanced Delivery & Chemical Systems, Ltd. Chemical delivery and containment system employing mobile shipping crate
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6058958A (en) 1998-11-05 2000-05-09 Micromed Technology, Inc. Pulsatile flow system and method
US6155289A (en) 1999-05-07 2000-12-05 International Business Machines Method of and system for sub-atmospheric gas delivery with backflow control
US6119710A (en) 1999-05-26 2000-09-19 Cyber Instrument Technologies Llc Method for wide range gas flow system with real time flow measurement and correction
US6316169B1 (en) 1999-06-25 2001-11-13 Lam Research Corporation Methods for reducing profile variation in photoresist trimming
US6302139B1 (en) 1999-07-16 2001-10-16 Advanced Technology Materials, Inc. Auto-switching gas delivery system utilizing sub-atmospheric pressure gas supply vessels
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
DE60041341D1 (de) 1999-08-17 2009-02-26 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
WO2001029879A2 (en) 1999-10-20 2001-04-26 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
TW496907B (en) 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6632322B1 (en) 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US6589350B1 (en) 2000-09-08 2003-07-08 Advanced Micro Devices, Inc. Vacuum processing chamber with controlled gas supply valve
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2002129337A (ja) 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
US6607597B2 (en) 2001-01-30 2003-08-19 Msp Corporation Method and apparatus for deposition of particles on surfaces
JP2002280357A (ja) 2001-03-21 2002-09-27 Sony Corp プラズマエッチング装置およびエッチング方法
JP5010781B2 (ja) 2001-03-28 2012-08-29 忠弘 大見 プラズマ処理装置
US6630407B2 (en) 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144706A1 (en) 2001-04-10 2002-10-10 Davis Matthew F. Remote plasma cleaning of pumpstack components of a reactor chamber
US6418954B1 (en) 2001-04-17 2002-07-16 Mks Instruments, Inc. System and method for dividing flow
JP2002339071A (ja) 2001-05-18 2002-11-27 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Alcvdシステムにおける処理ガス供給機構
WO2002095519A1 (en) 2001-05-24 2002-11-28 Unit Instruments, Inc. Method and apparatus for providing a determined ratio of process fluids
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6590344B2 (en) 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
JP2004119539A (ja) * 2002-09-25 2004-04-15 Sony Corp レジストパターンの除去方法
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101459073B (zh) * 2007-12-13 2010-06-09 中芯国际集成电路制造(上海)有限公司 蚀刻底层抗反射层的方法
CN106811752A (zh) * 2015-12-02 2017-06-09 中微半导体设备(上海)有限公司 形成双大马士革结构的方法、等离子体刻蚀方法

Also Published As

Publication number Publication date
JP2008504683A (ja) 2008-02-14
WO2006007355A1 (en) 2006-01-19
US20050006346A1 (en) 2005-01-13
KR20070035506A (ko) 2007-03-30
TW200614365A (en) 2006-05-01
JP4914830B2 (ja) 2012-04-11
KR101171813B1 (ko) 2012-08-13
TWI375269B (en) 2012-10-21
US7534363B2 (en) 2009-05-19

Similar Documents

Publication Publication Date Title
CN101006565A (zh) 均匀去除有机材料的方法
US10354888B2 (en) Method and apparatus for anisotropic tungsten etching
KR100465947B1 (ko) 불화 가스 및 산소를 함유한 가스 혼합물을 사용하는텅스텐의 플라즈마 공정
CN103155115B (zh) 半导体装置的制造方法
US7081407B2 (en) Method of preventing damage to porous low-k materials during resist stripping
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
KR101233430B1 (ko) 커패시턴스 감소를 위한 자기 정렬된 갭이 있는 디바이스
EP1042796A1 (en) Improved techniques for etching an oxide layer
CN101171666A (zh) 用于蚀刻工艺的稳定的光致抗蚀剂结构
US20150325479A1 (en) Method for forming self-aligned contacts/vias with high corner selectivity
US20070128849A1 (en) Waferless automatic cleaning after barrier removal
SG187508A1 (en) Reducing damage to low-k materials during photoresist stripping
KR100967458B1 (ko) 에칭 방법 및 에칭 장치
KR20010062744A (ko) 고선택비의 에칭이 가능한 드라이 에칭 방법 및 반도체장치의 제조 방법
US9130018B2 (en) Plasma etching method and storage medium
US20070111528A1 (en) Method of cleaning semiconductor substrate conductive layer surface
JP2002520848A (ja) 2ステップ自己整合コンタクトエッチング
US9384999B2 (en) Plasma etching method and storage medium
KR100560253B1 (ko) 플라즈마 에칭 방법
JP2001250817A (ja) ドライエッチング方法及び半導体装置の製造方法
JP2021028968A (ja) 基板および基板処理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20070725