CN1302388C - 用于芯片内系统的设计的分级内置自测试 - Google Patents

用于芯片内系统的设计的分级内置自测试 Download PDF

Info

Publication number
CN1302388C
CN1302388C CNB028103971A CN02810397A CN1302388C CN 1302388 C CN1302388 C CN 1302388C CN B028103971 A CNB028103971 A CN B028103971A CN 02810397 A CN02810397 A CN 02810397A CN 1302388 C CN1302388 C CN 1302388C
Authority
CN
China
Prior art keywords
grand
bist
test
local
bist controller
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB028103971A
Other languages
English (en)
Other versions
CN1511285A (zh
Inventor
H·H·陈
L·L·-C·许
L·-K·王
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1511285A publication Critical patent/CN1511285A/zh
Application granted granted Critical
Publication of CN1302388C publication Critical patent/CN1302388C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • G06F11/27Built-in tests

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Tests Of Electronic Circuits (AREA)
  • For Increasing The Reliability Of Semiconductor Memories (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Drying Of Semiconductors (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

用于验证系统功能的分级内置自测试的方法和装置。结果,提供一种用于进行完整的芯片内系统测试的有效的分级内置自测试的方法,以保证电路的可靠性和芯片内系统设计的性能。附加的优点是,芯片内系统应用的开发成本得到降低。

Description

用于芯片内系统的设计的分级内置自测试
发明领域
本发明总体涉及计算机芯片中的和用于计算机芯片的内置自测试(built-in-self-test)。
技术背景
内置自测试(BIST)设计已经在存储器和微处理器新芯片中普遍实行。有些BIST设计只在晶片级或模块级测试期间使用一次,以筛选出坏芯片。其它BIST设计在芯片的整个生命期被用来在每次通电之后进行自检和修复。在当今的高密度、高性能芯片设计中,BIST已经变成一个决定产品的开发成本和上市时间的关键电路成分。
高密度动态随机存取存储器(DRAM)中的典型BIST电路包括控制器、高速缓存、模式发生器和数据比较器。(例如,参看JefferyDreibeibis等人的“Processor-based built-in Self-test forEmbedded DRAM”,IEEE Journal of Solid State Circuits,第33卷,第11号,1988年,1731-1739页。)控制器用信号位于外部测试器(tester)通信。诸如START、STOP、CONTINUE、REFRESH、READ和WRITE等不同测试方式,能通过对信号位的编程而被执行。一般来说,高速缓存能存储多个程序中的256个20位的指令字。在芯片被通电后,高速缓存将被装载(load)一个决定DRAM将如何被测试的测试程序集合。模式发生器能够生成诸如固态(solid)“1”、固态“0”、棋盘(checkerboard)、行条(row stripe)、列条(column stripe)和跨步(march)模式等普通测试模式。数据比较器将从DRAM读取的数据与预期被写到DRAM的数据比较,确定电路通过测试还是通不过测试。
更详细的分析可以在晶片烧入(burn-in)或模块烧入期间进行。在扫描DRAM阵列的每个行和列之后,一个内置的地址堆栈寄存器阵列将存储具有最高的故障计数(fail counts)的地址。这些地址将被用于通过借助于熔丝编程技术(fuse programming techniques)激活冗余(activating redundaneies)的修复。
通过在单一芯片上设置许多不同的宏(macros),芯片内系统(system-on-chip,SOC)设计充分利用集成技术实现多功能操作。例如,无线通信芯片可以包含一个内置DRAM存储器宏、快闪存储器宏、微处理器内核、混合的信号宏和一些类似的宏。设计复杂的系统芯片的挑战之一是核查其设计。然而,由于每个宏的多数输入和输出引脚在集成后变得不可接触,难以对系统芯片进行高速度而低成本的测试。
由于多数现有的内置自测试电路都是针对个别宏专门制作的,用于单一存储器或处理器芯片的BIST设计不能被应用于包括存储器和处理器两种宏的系统芯片。用于存储器测试的BIST设计不能被直接用于处理器测试,反之亦然。此外,对于模拟的、射频(RF)的、和混合信号宏,没有已知的BIST设计。
于是,认识到需要提供一种高效的内置自测试方法来进行完整的芯片内系统测试,以保证芯片内系统设计的可靠性和性能。
发明内容
相应地,本发明一方面提供一种用于芯片内系统的分级内置自测试的装置,所述装置包含:中央BIST控制器、至少一个本地BIST电路、至少一个设置在所述中央BIST控制器与所述至少一个本地BIST电路之间的通信介质。
最好,所述至少一个本地BIST电路与至少一个宏相关联。
最好,所述中央BIST控制器包含用于每个宏的硬编码(hard-coded)测试命令的存储器。
最好,所述中央BIST控制器包含至少一个为每个宏进行测试命令编程的可编程介质。
最好,所述中央BIST控制器包含一个状态机,每个宏的测试序列通过状态机被执行。
最好,所述中央BIST控制器包含一个处理外部测试程序的处理器。
最好,所述中央BIST控制器包含一个存储为随后的外部分析而从每个宏收集的数据的临时存储空间。
最好,所述临时存储空间包含一个动态随机存储器。
最好,所述中央BIST控制器包含一个执行分级测试算法的逻辑。
最好,所述逻辑适合在多于一个测试水平上执行测试。
最好,所述逻辑适合在执行至少一个较低水平的测试之前执行至少一个较高水平的测试。
最好,所述宏包含多个宏;且所述逻辑适合在每个宏内以及多个宏之间确定一个故障(failure)机制。
最好,所述逻辑适合检测到一个致命的或不可恢复的故障时中止(abort)测试程序。
最好,所述逻辑促使至少一个本地BIST电路修理(fix)至少一个宏中的可恢复故障。
最好,所述逻辑在给定水平的测试完成后启动不同的分级水平上的测试。
最好,所述中央BIST控制器包含一个存储为随后的外部分析而从每个宏收集的数据的临时存储空间;且所述逻辑适合在所述至少一个本地BIST电路完成最终水平的测试之后向所述中央BIST控制器传输数据并在所述临时存储空间中存储数据。
最好,所述逻辑适合在所述至少一个本地BIST电路对每个宏完成最终水平的测试之后发送测试完成信号。
最好,所述至少一个通信介质包含:命令和数据位;以及引导所述命令和数据位到至少一个特定宏的地址位。
第一方面的装置最好进一步包含至少一个可以向所述中央BIST控制器转移以对测试程序编程的预定测试程序。
最好,所述中央BIST控制器包含一个DSP处理器。
第一方面的装置最好进一步包含至少一个冗余的、为修复目的而配备的本地电路。
本发明的第二方面是提供一种用于芯片内系统的分级内置自测试的方法,所述方法包含以下步骤:提供一个中央BIST控制器,提供至少一个本地BIST电路,在所述中央BIST控制器与所述至少一个本地BIST电路之间通信。
第二方面的方法最好进一步包含提供与所述至少一个本地BIST电路相关联的至少一个宏的步骤。
最好,所述提供中央BIST控制器的步骤包含提供用于每个宏的硬编码测试命令的存储器。
最好,所述提供中央BIST控制器的步骤包含提供至少一个为每个宏进行测试命令编程的可编程介质。
最好,所述提供中央BIST控制器的步骤包含提供一个状态机,每个宏的测试序列通过状态机被执行。
最好,所述提供中央BIST控制器的步骤包含提供一个处理外部测试程序的处理器。
最好,所述提供中央BIST控制器的步骤包含提供一个存储为随后的外部分析而从每个宏收集的数据的临时存储空间。
最好,所述提供临时存储空间的步骤包含提供一个动态随机存储器。
第二方面的方法最好进一步包含执行分级测试算法的步骤。
最好,所述执行分级测试算法的步骤包含在多于一个测试水平上执行测试。
最好,所述执行分级测试算法的步骤包含在执行至少一个较低水平的测试之前执行至少一个较高水平的测试。
最好,所述宏包含多个宏;且所述执行分级测试算法的步骤包含在每个宏内以及多个宏之间确定一个故障机制。
最好,所述执行分级测试算法的步骤包含检测到一个致命的或不可恢复的故障时中止测试程序。
最好,所述执行分级测试算法的步骤包含促使至少一个本地BIST电路修理至少一个宏中的可恢复故障。
最好,所述执行分级测试算法的步骤包含在给定水平的测试完成后启动不同的分级水平上的测试。
最好,所述提供中央BIST控制器的步骤包含提供一个存储为随后的外部分析而从每个宏收集的数据的临时存储空间;且所述执行分级测试算法的步骤包含在所述至少一个本地BIST电路完成最终水平的测试之后向所述中央BIST控制器传输数据并在所述临时存储空间中存储数据。
最好,所述执行分级测试算法的步骤包含在所述至少一个本地BIST电路对每个宏完成最终水平的测试之后发送测试完成信号。
最好,所述通信步骤包含:提供命令和数据位;以及提供引导所述命令和数据位到至少一个特定宏的地址位。
第二方面的方法最好进一步包含提供至少一个可以向所述中央BIST控制器转移以对测试程序编程的预定测试程序的步骤。
最好,所述提供中央BIST控制器的步骤包含提供一个DSP处理器。
第二方面的方法最好进一步包含提供至少一个冗余的、为修复目的而配备的本地电路的步骤。
在最佳实施例中,本发明提供一种机器可读的程序存储器,该存储器有形地体现可由机器执行的指令程序,用以执行用于提供一种用于芯片内系统的分级内置自测试的方法步骤,所述方法包含以下步骤:提供一个中央BIST控制器,提供至少一个本地BIST电路,在所述中央BIST控制器与所述至少一个本地BIST电路之间通信。
按照本发明最少一个当前最佳实施例,提供一种分级内置自测试(BIST)设计方法,用于测试具有各种功能块和宏的集成系统芯片。
按照至少一个实施例,本发明也广泛地设想提供一个中央BIST控制器、对应每个宏的一个或多个本地BIST电路、以及数据/控制路径,以执行芯片内系统(SOC)测试操作。
本发明进一步所设想的是,提供一种允许对不同宏的不同水平的测试(一个或多个水平)的优选的分级测试方法。例如,最高水平的测试检测每个宏的不可恢复的错误。下一水平的测试检测宏接口的不可恢复的错误。随后的水平的测试可以包括自我修复(self-repairing)、自我调节(self-tuning)以及每个宏的参数调整,以保证其性能和功能。最后水平的测试进行接口调试和输出(yield)分析,并向外部测试器发送报告。
按照本发明最少一个当前最佳实施例,中央BIST控制器包含:用于存储测试模式和为每个宏的测试命令编程的可编程器件;用于有序地执行每个宏的测试序列的状态机;用于从本地BIST电路收集反馈数据的动态随机存储器(DRAM);用于借助来自外部测试器的程序进行宏内(intra-macro)和宏间(inter-macro)测试的内置处理器。
本发明另外所设想的是,提供一种优选的测试算法,该算法为减少总测试时间而以分级的和并行的方式进行自我测试和设置停止条件。
总之,本发明的一个方面的最佳实施例提供一种用于芯片内系统的分级内置自测试的装置,该装置包含:一个中央BIST控制器,至少一个本地BIST电路,在中央BIST控制器与至少一个本地BIST电路之间提供的至少一个通信介质。
本发明的另一个方面的最佳实施例提供一种用于芯片内系统的分级内置自测试的方法,所述方法包含以下步骤:提供一个中央BIST控制器,提供至少一个本地BIST电路,在中央BIST控制器与至少一个本地BIST电路之间通信。
此外,本发明的另一个方面的最佳实施例提供一种机器可读的程序存储器,该存储器有形地体现可由机器执行的指令程序,用以执行用于提供一种用于芯片内系统的分级内置自测试的方法步骤,所述方法包含以下步骤:提供一个中央BIST控制器,提供至少一个本地BIST电路,在中央BIST控制器与至少一个本地BIST电路之间通信。
附图简介
现在将仅通过举例,参照附图来说明本发明的最佳实施例。
图1表示具有特定内置自测试(BIST)单元的芯片内系统(SOC)设计。
图2表示用于系统芯片及其子系统的总体分级内置自测试方案。
图3表示能被全局和本地BIST单元执行的测试程序的流程图。
最佳实施方式
图1表示具有特定内置自测试(BIST)单元的芯片内系统(SOC)设计。具体来说,中央BIST控制单元10将包括地址位和命令位的信息分别发送到各个宏30、50、70、90、100的本地BIST单元30a、50a、70a、90a、100a,并最好以分级的方式进行以下测试:
-射频(RF)宏30、快闪存储器宏50、混合信号宏70、动态随机存储器宏90、以及处理器宏100的单元测试;
-处理器宏100与DRAM宏90之间的接口测试,诸如从DRAM向处理器读数据,从处理器向DRAM写数据,以及执行来自DRAM宏的处理器测试程序;
-RF宏30与外部天线之间的接口测试;
-RF宏30与混合信号宏70的基带(base-band)部分之间的接口测试;
-快闪存储器宏50与混合信号宏70的数字信号处理(DSP)单元之间的通信测试,例如在快闪存储器存储来自DSP的信息,执行块擦除;以及
-DRAM宏90与快闪存储器宏50之间的数据传输性能测试,例如在这两个单元之间交换数据。
当中央BIST控制单元10检测到不可恢复的故障时,最好将测试序列立即存储器起来,以节省测试时间。所有其它可恢复的故障(如果可恢复的话)最好由本地BIST电路(30a、50a、70a、90a、100a)修理并报告给当中央BIST控制单元10供进一步分析。图2表示用于系统芯片及其子系统的总体分级内置自测试方案。(例如,膝上型系统可能有多媒体、视频、声频、调制解调器、接口等子系统。)特别地,图2表示按照本发明的至少一个当前最佳实施例可以采用的大体层次。如图所示,在全局或系统层200上,可以出现芯片外通信、全局BIST控制和全局BIST程序。另一方面,在层次中低一级的子系统层205上,可以把芯片上(on chip)通信、第二层(或中层)BIST控制和第二层(或中层)BIST编程与207a、207b、207c等处的各种子系统相关联。从那里起,在层次的“本地”层210上,最好可以把本地BIST控制和本地BIST编程与212a、212b、212c、212d等处的各种核(cores)和宏相关联。应当认识到,概括来说,最好有至少一个(但是通常多于一个)与子系统“层”205相关联的、从属于位于层200的全局系统的子系统,有至少一个(但是通常多于一个)与本地“层”210相关联的、从属于每个子系统的核或和宏。
在本发明的最佳实施例中,每个子系统最好有一个位于层次的“底”或“首”层的本地BIST单元,用于提供诸如READ(读)、WRITE(写)、EXECUTE(执行)和RETURN(返回)等标准测试功能。这样的一个本地BIST单元最好也提供特定于其驻留所在的子系统的测试功能。例如,在DRAM宏中的本地BIST单元可以有一个模式发生器,用于为存储阵列生成预定的测试模式。在处理器宏中的本地BIST单元最好有一个程序发生器,用于为中央处理请单元(CPU)核交付预定的程序。(注意,CPU核也可能是个DSP单元)。所有本地BIST电路都配备有一个公共接口,以方便在全局BIST单元与本地BIST单元之间的通信。这个通信通道可以是串行总线、并行总线、或者任何现有的标准总线。如果本地BIST电路没有公共接口,则最好要在全局BIST单元中实施对应于每个本地BIST电路的特定接口。
芯片上通信允许全局BIST单元执行对本地BIST单元的控制和数据传输操作。控制操作允许全局BIST单元启动本地BIST、停止本地BIST或者请求本地BIST的状态。数据传输操作允许全局BIST单元在本地BIST单元存储测试程序或者从本地BIST单元读测试结果。此外,芯片上通信允许全局BIST单元在两个或更多的本地BIST单元之间进行控制和数据传输操作。例如,控制操作可以启动多于一个的本地BIST、停止多于一个的本地BIST或者请求多于一个的本地BIST的状态。数据传输操作可以在多于一个的本地BIST单元存储测试程序,从多于一个的本地BIST读测试结果,或者借助指定的本地BIST单元进行宏-到-宏(macro-to-macro)测试。
全局BIST的一个更关键的特点是能确定本地宏是否在按设计说明书正常地工作。如果不是,则全局BIST最好用冗余的本地单元替换之。
图3表示能被全局和本地BIST单元执行的测试程序的流程图。
如图所示,最好首先加载分级BIST程序(302)。然后最好运行本地BIST程序n(304)。当发生与对应的本地BIST报告相关联的故障(306),过程结束(308)。否则,判断(310)n是否等于N,后者代表可用的本地BIST的总数。如果不相等,则将n递增1(312)并重新访问步骤(304)。如果相等,则在314运行一个第二层或中层BIST。这里,系统BIST最好也顺序地或者以另外定义的次序从子系统询问每个BIST。最后,最好在316运行全局或顶层BIST。当发生与顶层BIST报告相关联的故障(318),过程结束(320)。如果“通过”,则认为分级BIST在322完成。步骤322对应于过程的成功完成,步骤308和/320则可能或者在不可恢复故障的情况下促使过程的提前终止-为此将向外部测试器或操作者通知;或者在可恢复故障的情况下启动另外的测试程序甚至自修复程序。
按照本发明的至少一个当前最佳实施例,测试程序可以由全局BIST与定义,或者借助芯片外通信通道从外部加载。子系统的测试程序可以被本地BIST单元并行地执行,以减少测试时间。当本地BIST单元检测到不可恢复故障时,故障被通过芯片上BIST间通信通道报告给全局BIST单元。全局BIST单元随后终止测试,并且,如果没有准备好的用于在全局层替换的额外单元,则通过芯片外通信通道向外部测试器发出故障信号。另一方面,如果检测到本地可恢复的故障,则可在每个子系统上运行诸如冗余激活和性能调节的多个测试程序。为了提高测试效率,提供最高故障恢复的测试程序将首先被执行。在所有的本地BIST单元成功地执行它们各自的子系统测试之后,全局BIST单元将进行最后的系统测试。
应当理解,按照至少一个当前最佳实施例,本发明广泛地设想一种可以由两层、三层、四层或四层以上的多层构成的层次。就一层的BIST与层次中紧接着的较低层的一个或多个BIST之间的关系而言,类似原理适用于每种情形。
应当明白,按照至少一个当前最佳实施例,本发明包括一个中央BIST控制器、至少一个本地BIST电路、以及至少一个设置在该中央BIST控制器与该至少一个本地BIST电路之间的通信介质。中央BIST控制器、至少一个本地BIST电路、以及至少一个通信介质可以一起在至少一个运行适当的软件程序的通用计算机上实现。它们也可以在至少一个集成电路上或者至少一个集成电路的一部分上实现。所以应当明白,本发明可以以硬件、软件或者它们的组合的形式实现。
尽管本文已经结合各附图说明了本发明的示例性实施例,应当明白,本发明并不限于这些具体实施例,本技术领域的熟练人员在不偏离本发明的范围的情况下可以做出各种变更和修改。

Claims (8)

1.用于为芯片内系统提供分级内置自测试的装置,所述装置包含:
至少一个本地BIST电路,所述本地BIST电路与多个宏相关联;
中央BIST控制器,其中所述中央BIST控制器控制至少一个本地BIST电路,并且所述中央BIST控制器包含分级测试逻辑,所述逻辑用于确定宏内和宏间的故障机制;和
至少一个设置在所述中央BIST控制器与所述至少一个本地BIST电路之间的通信介质。
2.权利要求1的装置,其中,所述中央BIST控制器包含用于每个宏的硬编码测试命令的存储器。
3.权利要求1的装置,其中,所述中央BIST控制器包含至少一个为每个宏进行测试命令编程的可编程介质。
4.权利要求1的装置,其中,所述中央BIST控制器包含一个状态机,每个宏的测试序列通过状态机被执行。
5.权利要求1的装置,其中,所述中央BIST控制器包含一个处理外部测试程序的处理器。
6.权利要求1的装置,其中,所述中央BIST控制器包含一个存储为随后的外部分析而从每个宏收集的数据的临时存储空间。
7.权利要求6的装置,其中,所述临时存储空间包含一个动态随机存储器。
8.为芯片内系统提供分级内置自测试的方法,所述方法包含以下步骤:
提供至少一个本地BIST电路,所述本地BIST电路与多个宏相关联;
提供一个中央BIST控制器,所述中央BIST控制器控制至少一个本地BIST电路,并且所述中央BIST控制器包含分级测试逻辑,所述逻辑用于确定宏内和宏间的故障机制;并且
在所述中央BIST控制器与所述至少一个本地BIST电路之间通信。
CNB028103971A 2001-05-23 2002-05-15 用于芯片内系统的设计的分级内置自测试 Expired - Fee Related CN1302388C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/863,952 2001-05-23
US09/863,952 US6728916B2 (en) 2001-05-23 2001-05-23 Hierarchical built-in self-test for system-on-chip design

Publications (2)

Publication Number Publication Date
CN1511285A CN1511285A (zh) 2004-07-07
CN1302388C true CN1302388C (zh) 2007-02-28

Family

ID=25342182

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028103971A Expired - Fee Related CN1302388C (zh) 2001-05-23 2002-05-15 用于芯片内系统的设计的分级内置自测试

Country Status (11)

Country Link
US (1) US6728916B2 (zh)
EP (1) EP1389315B1 (zh)
JP (1) JP3962337B2 (zh)
KR (1) KR100536984B1 (zh)
CN (1) CN1302388C (zh)
AT (1) ATE332530T1 (zh)
AU (1) AU2002304504A1 (zh)
DE (1) DE60212962T2 (zh)
ES (1) ES2262810T3 (zh)
TW (1) TWI220024B (zh)
WO (1) WO2002095586A2 (zh)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6931606B1 (en) * 2001-10-15 2005-08-16 Lsi Logic Corporation Automatic method and system for instantiating built-in-test (BIST) modules in ASIC memory designs
US7222261B2 (en) * 2002-06-19 2007-05-22 Teradyne, Inc. Automatic test equipment for design-for-test (DFT) and built-in-self-test circuitry
US20040006729A1 (en) * 2002-07-03 2004-01-08 Pendurkar Rajesh Y. Hierarchical test methodology for multi-core chips
US20040049724A1 (en) * 2002-07-22 2004-03-11 Colin Bill Built-in-self-test (BIST) of flash memory cells and implementation of BIST interface
US7673193B1 (en) * 2005-08-18 2010-03-02 Rambus Inc. Processor-memory unit for use in system-in-package and system-in-module devices
US7005873B2 (en) * 2002-12-31 2006-02-28 Agere Systems Inc. Built-in self-test hierarchy for an integrated circuit
US7395474B2 (en) * 2003-08-01 2008-07-01 Intermec Ip Corp. Lab-on-chip system and method and apparatus for manufacturing and operating same
US7249302B2 (en) * 2003-08-01 2007-07-24 Intermec Ip Corp. Integrated test-on-chip system and method and apparatus for manufacturing and operating same
US7664798B2 (en) * 2003-09-04 2010-02-16 Oracle International Corporation Database performance baselines
US6922649B2 (en) * 2003-11-25 2005-07-26 International Business Machines Corporation Multiple on-chip test runs and repairs for memories
KR100594257B1 (ko) * 2004-02-26 2006-06-30 삼성전자주식회사 내장형 셀프 테스트 회로를 가지는 soc 및 그 셀프테스트 방법
JP2005266861A (ja) * 2004-03-16 2005-09-29 Nec Electronics Corp マイクロコンピュータ及びそのテスト方法
EP1585139A1 (en) * 2004-04-08 2005-10-12 STMicroelectronics Pvt. Ltd An on-chip and at-speed tester for testing and characterization of different types of memories
JP4044075B2 (ja) * 2004-06-14 2008-02-06 株式会社東芝 半導体集積回路の試験回路及び試験方法
US7360134B1 (en) * 2004-09-21 2008-04-15 Sun Microsystems, Inc. Centralized BIST engine for testing on-chip memory structures
US7370292B2 (en) * 2004-12-14 2008-05-06 International Business Machines Corporation Method for incremental design reduction via iterative overapproximation and re-encoding strategies
KR100638476B1 (ko) * 2004-12-22 2006-10-26 삼성전자주식회사 버추얼 플랫폼 기반의 시스템 온 칩 개발 환경 및 개발 방법
US7284167B2 (en) * 2005-01-24 2007-10-16 Spansion Llc Automated tests for built-in self test
US7240255B2 (en) * 2005-03-22 2007-07-03 Cisco Technology, Inc. Area efficient BIST system for memories
CN101218277B (zh) 2005-06-10 2012-03-07 住友化学株式会社 芳族聚合物
US7657807B1 (en) * 2005-06-27 2010-02-02 Sun Microsystems, Inc. Integrated circuit with embedded test functionality
CN100367041C (zh) * 2005-06-30 2008-02-06 复旦大学 可避免热点并可均匀分布热量的系统级芯片测试方法
US20070168809A1 (en) * 2005-08-09 2007-07-19 Naoki Kiryu Systems and methods for LBIST testing using commonly controlled LBIST satellites
US7861106B2 (en) * 2005-08-19 2010-12-28 A. Avizienis And Associates, Inc. Hierarchical configurations in error-correcting computer systems
KR100727975B1 (ko) 2005-09-10 2007-06-14 삼성전자주식회사 시스템 온 칩의 고장 진단 장치 및 방법과 고장 진단이가능한 시스템 온 칩
US7308656B1 (en) * 2005-10-04 2007-12-11 Xilinx, Inc. Method and apparatus for generating a boundary scan description and model
US7930595B2 (en) * 2006-06-22 2011-04-19 International Business Machines Corporation Method and apparatus for analyzing error conditions in a massively parallel computer system by identifying anomalous nodes within a communicator set
US20080016421A1 (en) * 2006-07-13 2008-01-17 International Business Machines Corporation Method and apparatus for providing programmable control of built-in self test
US20080126001A1 (en) * 2006-09-01 2008-05-29 Murray David W Equipment testing system and method having scaleable test line limits
US8499208B2 (en) * 2006-10-27 2013-07-30 Qualcomm Incorporated Method and apparatus for scheduling BIST routines
US7822567B2 (en) * 2007-06-29 2010-10-26 Advanced Micro Devices, Inc. Method and apparatus for implementing scaled device tests
CN101398457B (zh) * 2007-09-25 2011-06-15 奇景光电股份有限公司 晶片、其测试系统、其测试方法及其测试治具
US8990811B2 (en) 2007-10-19 2015-03-24 Oracle International Corporation Future-based performance baselines
US7856577B2 (en) * 2007-11-21 2010-12-21 Lsi Corporation Command language for memory testing
US7882406B2 (en) 2008-05-09 2011-02-01 Lsi Corporation Built in test controller with a downloadable testing program
US8059547B2 (en) * 2008-12-08 2011-11-15 Advantest Corporation Test apparatus and test method
US7941713B2 (en) * 2008-08-27 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Programmable self-test for random access memories
CN101751317B (zh) * 2008-12-12 2013-11-20 上海芯豪微电子有限公司 多运算单元/多核/众核系统的自测试自修复机制与结构
WO2010112974A2 (en) * 2009-03-31 2010-10-07 Freescale Semiconductor, Inc. System for tree sequence testing of a device and method for tree sequence testing of a device in a test framework architecture
CN102231286B (zh) * 2009-10-08 2014-03-26 鸿富锦精密工业(深圳)有限公司 动态随机存取存储器的测试方法
US8381052B2 (en) * 2009-11-10 2013-02-19 International Business Machines Corporation Circuit and method for efficient memory repair
US8169321B2 (en) * 2010-01-29 2012-05-01 International Business Machines Corporation Radio frequency-enabled electromigration fuse
US9037928B2 (en) 2012-01-01 2015-05-19 Mosys, Inc. Memory device with background built-in self-testing and background built-in self-repair
KR101603287B1 (ko) 2010-05-17 2016-03-14 삼성전자주식회사 시스템 온 칩 및 그것의 동작 방법
CN102402430B (zh) * 2010-09-14 2016-03-09 无锡中感微电子股份有限公司 一种利用脚本生成随机测试例方法和系统
US20120065919A1 (en) * 2010-09-14 2012-03-15 Lsi Corporation Built-in self-test circuit-based radiation sensor, radiation sensing method and integrated circuit incorporating the same
US8683265B2 (en) * 2010-12-09 2014-03-25 Advanced Micro Devices, Inc. Debug state machine cross triggering
US8873320B2 (en) * 2011-08-17 2014-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. DRAM repair architecture for wide I/O DRAM based 2.5D/3D system chips
CN104459522B (zh) * 2013-09-17 2018-01-23 比亚迪股份有限公司 芯片自测方法及系统
KR20170066082A (ko) 2015-12-04 2017-06-14 삼성전자주식회사 Bist 회로, 이를 포함하는 메모리 장치 및 이의 동작방법
US10078544B2 (en) * 2015-12-19 2018-09-18 Intel Corporation Apparatus and method for an on-chip reliability controller
CN105738800B (zh) * 2016-01-30 2018-09-04 大连理工大学 基于单双跳变的低功耗确定性bist及种子压缩方法
DE102016114795A1 (de) * 2016-08-10 2018-02-15 Infineon Technologies Ag Testen von nichtflüchtigem Speicher
US10387231B2 (en) * 2016-08-26 2019-08-20 Microsoft Technology Licensing, Llc Distributed system resiliency assessment using faults
CN106973409B (zh) * 2017-03-28 2021-01-26 努比亚技术有限公司 一种天线调谐参数调试的系统及方法
US10467126B2 (en) * 2017-03-31 2019-11-05 Microsoft Technology Licensing, Llc Scenarios based fault injection
US11036595B2 (en) * 2017-10-11 2021-06-15 Electronics And Telecommunications Research Institute Semiconductor system including fault manager
US10359469B2 (en) 2017-12-12 2019-07-23 Nxp Usa, Inc. Non-intrusive on-chip analog test/trim/calibrate subsystem
US11408934B2 (en) * 2017-12-22 2022-08-09 Nvidia Corporation In system test of chips in functional systems
US11294749B2 (en) * 2017-12-30 2022-04-05 Intel Corporation Techniques to collect crash data for a computing system
US10685730B1 (en) 2018-03-20 2020-06-16 Seagate Technology Llc Circuit including efficient clocking for testing memory interface
KR102553267B1 (ko) 2018-05-17 2023-07-07 삼성전자 주식회사 멀티-채널 패키지, 및 그 패키지를 테스트하는 테스트 장치 및 테스트 방법
US10816595B2 (en) 2018-10-19 2020-10-27 Nxp Usa, Inc. Self-test apparatuses having distributed self-test controller circuits and controller circuitry to control self-test execution based on self-test properties and method thereof
KR102131230B1 (ko) 2018-11-26 2020-07-08 현대오트론 주식회사 파워트레인 제어기의 램 에러 감지 로직의 자가진단 방법 및 장치
CN109857609B (zh) * 2019-01-24 2022-07-19 上海磐启微电子有限公司 一种基于RAM交互的软硬件协同SoC验证方法
KR20210010761A (ko) * 2019-07-19 2021-01-28 삼성전자주식회사 시스템 온 칩 및 그 동작 방법
US11513153B2 (en) 2021-04-19 2022-11-29 Nxp Usa, Inc. System and method for facilitating built-in self-test of system-on-chips
CN117033112A (zh) * 2023-08-07 2023-11-10 西安微电子技术研究所 一种系统级dft实现方法、系统、设备及介质
CN116718902B (zh) * 2023-08-11 2023-10-20 中诚华隆计算机技术有限公司 一种基于Chiplet的芯片内置自测试方法和系统

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5995731A (en) * 1997-12-29 1999-11-30 Motorola, Inc. Multiple BIST controllers for testing multiple embedded memory arrays

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5862152A (en) * 1995-11-13 1999-01-19 Motorola, Inc. Hierarchically managed boundary-scan testable module and method
US5983009A (en) * 1996-10-03 1999-11-09 Credence Systems Corporation Automatic generation of user definable memory BIST circuitry
US6044481A (en) 1997-05-09 2000-03-28 Artisan Components, Inc. Programmable universal test interface for testing memories with different test methodologies
US6008821A (en) * 1997-10-10 1999-12-28 International Business Machines Corporation Embedded frame buffer system and synchronization method
US6408413B1 (en) * 1998-02-18 2002-06-18 Texas Instruments Incorporated Hierarchical access of test access ports in embedded core integrated circuits
US6249893B1 (en) * 1998-10-30 2001-06-19 Advantest Corp. Method and structure for testing embedded cores based system-on-a-chip
US6505317B1 (en) * 2000-03-24 2003-01-07 Sun Microsystems, Inc. System and method for testing signal interconnections using built-in self test

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5995731A (en) * 1997-12-29 1999-11-30 Motorola, Inc. Multiple BIST controllers for testing multiple embedded memory arrays

Also Published As

Publication number Publication date
US6728916B2 (en) 2004-04-27
KR100536984B1 (ko) 2005-12-14
EP1389315A2 (en) 2004-02-18
TWI220024B (en) 2004-08-01
WO2002095586A2 (en) 2002-11-28
DE60212962T2 (de) 2007-01-04
AU2002304504A1 (en) 2002-12-03
JP2004534220A (ja) 2004-11-11
ATE332530T1 (de) 2006-07-15
JP3962337B2 (ja) 2007-08-22
EP1389315B1 (en) 2006-07-05
DE60212962D1 (de) 2006-08-17
KR20030092094A (ko) 2003-12-03
WO2002095586A3 (en) 2003-10-16
CN1511285A (zh) 2004-07-07
US20020178416A1 (en) 2002-11-28
ES2262810T3 (es) 2006-12-01

Similar Documents

Publication Publication Date Title
CN1302388C (zh) 用于芯片内系统的设计的分级内置自测试
US7149924B1 (en) Apparatus, method, and system having a pin to activate the self-test and repair instructions
US7200786B2 (en) Built-in self-analyzer for embedded memory
CN1145972C (zh) 随机存储器的自动检测方法及其检测电路
Huang et al. Built-in redundancy analysis for memory yield improvement
CN1224973C (zh) 集成电路半导体器件以及存储器的内置自修复电路和方法
KR101314037B1 (ko) 메모리 복구 회로, 집적 회로, 자동화 테스트 설비 장치 및 불량 복구 시스템
CN1409323A (zh) 用于存储存储器测试信息的方法和装置
KR100327136B1 (ko) 반도체 메모리 장치 및 이 장치의 병렬 비트 테스트 방법
CN1905068A (zh) 提高编程速度的非易失性存储器及相关编程方法
CN1334466A (zh) 在基于事件的测试系统上用于存储器测试的模块化结构
CN1427420A (zh) Ram高速测试控制电路及其测试方法
US5377199A (en) Boundary test scheme for an intelligent device
KR20030085466A (ko) 반도체 집적 회로 장치
CN1542862A (zh) 动态随机存取存储器存储芯片的测试方法及电路
US7565585B2 (en) Integrated redundancy architecture and method for providing redundancy allocation to an embedded memory system
JP5210262B2 (ja) メモリテスト回路
CN1700662A (zh) 数字通信信号处理单板的测试系统及其方法
CN1708808A (zh) 在测试期间启动存储器冗余
JP2000231798A (ja) フェイル情報取り込み装置、半導体メモリ試験装置及び半導体メモリ解析方法
CN1186809C (zh) 嵌入式内存测试平台装置及其测试方法
JP2007280546A (ja) 半導体試験装置および半導体装置の試験方法
US6757633B2 (en) Method for manufacturing identification codes of integrated circuits
Chen Hierarchical built-in self-test for system-on-chip design
JP2003007090A (ja) メモリの不良救済解析方法・メモリ試験装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171109

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171109

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070228

Termination date: 20190515