CN102402430B - 一种利用脚本生成随机测试例方法和系统 - Google Patents

一种利用脚本生成随机测试例方法和系统 Download PDF

Info

Publication number
CN102402430B
CN102402430B CN201010282714.8A CN201010282714A CN102402430B CN 102402430 B CN102402430 B CN 102402430B CN 201010282714 A CN201010282714 A CN 201010282714A CN 102402430 B CN102402430 B CN 102402430B
Authority
CN
China
Prior art keywords
field
register data
random
test case
script template
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201010282714.8A
Other languages
English (en)
Other versions
CN102402430A (zh
Inventor
王凤海
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Wuxi Zhonggan Microelectronics Co Ltd
Original Assignee
Wuxi Zhonggan Microelectronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Wuxi Zhonggan Microelectronics Co Ltd filed Critical Wuxi Zhonggan Microelectronics Co Ltd
Priority to CN201010282714.8A priority Critical patent/CN102402430B/zh
Publication of CN102402430A publication Critical patent/CN102402430A/zh
Application granted granted Critical
Publication of CN102402430B publication Critical patent/CN102402430B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Debugging And Monitoring (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

本发明提供了一种利用脚本生成随机测试例方法和系统,所述方法包括:获取寄存器数据表格;所述寄存器数据表格记录的字段包括:寄存器名、读写属性、位域、域名和功能描述;根据用户的设置在寄存器数据表格中添加随机类型字段、随机取值字段、及其字段内容;利用预置的脚本模板解析所述寄存器数据表格,将相应字段中的内容填充到所述脚本模板中,生成相应的测试例。通过本发明,提高了测试的效率以及准确度,缩短芯片的验证周期。

Description

一种利用脚本生成随机测试例方法和系统
技术领域
本发明涉及测试技术领域,特别是涉及一种利用脚本生成随机测试例方法和系统。
背景技术
目前系统级芯片SoC(SystemonChip)设计越来越复杂,为了对芯片进行充分的验证,需要对芯片中的各个模块进行随机测试,以实现模块的功能验证。一个模块通常对应一个寄存器数据表格,保存在存储介质中,所述表格中记录了该模块中与多个寄存器相对应的测试的参数信息。以往的随机测试例都是通过验证人员分析设计人员的设计文档,并从寄存器数据表格中提取出随机测试点,然后用SystemVerilog语言进行编程得到的。
随着模块的复杂度提高,随机测试点也会增加,如果通过人为编写SystemVerilog代码来生成随机测试例,会增加测试人员编写的代码量,浪费较多的时间,造成测试进度缓慢;并且,通过人为的手动编写测试代码,比较容易出错,影响测试的准确性。
总之,需要本领域技术人员迫切解决的一个技术问题就是:如何能够提供一种随机测试例的生成方法,提高测试的效率以及准确度,缩短芯片的验证周期。
发明内容
本发明所要解决的技术问题是提供一种利用脚本生成随机测试例方法和系统,提高测试的效率以及准确度,缩短芯片验证的周期。
为了解决上述问题,本发明公开了一种利用脚本生成随机测试例方法,包括:
获取寄存器数据表格;所述寄存器数据表格记录的字段包括:寄存器名、读写属性、位域、域名和功能描述;
根据用户的设置在寄存器数据表格中添加随机类型字段、随机取值字段、及其字段内容;
利用预置的脚本模板解析所述寄存器数据表格,将相应字段中的内容填充到所述脚本模板中,生成相应的测试例。
优选的,所述利用预置的脚本模板解析所述寄存器数据表格,包括:
利用脚本模板对所述寄存器表进行逐行扫描解析;
当该行的随机类型字段不为空时,读取该行中相应字段的内容作为脚本模板的填充变量。
优选的,通过函数调用的方式将相应字段中的内容填充到所述脚本模板中。
优选的,所述随机类型字段的内容包括两类:随机数据和随机配置。
进一步,当随机类型字段的内容为随机数据时,生成的测试例为激励文件;当随机类型字段的内容为随机配置时,生成的测试例为配置文件。
此外,本发明还公开了一种利用脚本生成随机测试例系统,包括:
表格获取单元,用于获取寄存器数据表格;所述寄存器数据表格记录的字段包括:寄存器名、读写属性、位域、域名和功能描述;
字段添加单元,用于根据用户的设置在寄存器数据表格中添加随机类型字段、随机取值字段、及其字段内容;
测试例生成单元,用于利用预置的脚本模板解析所述寄存器数据表格,将相应字段中的内容填充到所述脚本模板中,生成相应的测试例。
优选的,所述利测试例生成单元包括:
扫描解析单元,用于利用脚本模板对所述寄存器表进行逐行扫描解析;
变量读取单元,用于当该行的随机类型字段不为空时,读取该行中相应字段的内容作为脚本模板的填充变量。
优选的,所述测试例生成单元通过函数调用的方式将相应字段中的内容填充到所述脚本模板中。
优选的,所述随机类型字段的内容包括两类:随机数据和随机配置。
进一步,当随机类型字段的内容为随机数据时,所述测试例生成单元生成的测试例为激励文件;当随机类型字段的内容为随机配置时,所述测试例生成单元生成的测试例为配置文件。
与现有技术相比,本发明具有以下优点:
本发明根据用户的设置在寄存器数据表格中添加随机类型字段、随机取值字段、及其字段内容,利用预置的脚本模板解析所述寄存器数据表格,将相应字段中的内容填充到所述脚本模板中,生成相应的测试例。通过本发明,无需测试人员手动编写测试例,即可自动的生成测试例,大大降低了测试人员的工作量,提高了测试效率,加快了模块验证的整体进度,缩短了芯片验证周期。
此外,由于生成的测试例的信息来源于寄存器数据表格,与所述表格中的内容严格同步,相比于用户手动编写,提高了测试的准确度。
附图说明
图1是本发明一种利用脚本生成随机测试例方法实施例的流程图;
图2是为本发明方法实施例所述的一种寄存器数据表格的示意图;
图3是本发明一种利用脚本生成随机测试例系统实施例的结构图。
具体实施方式
为使本发明的上述目的、特征和优点能够更加明显易懂,下面结合附图和具体实施方式对本发明作进一步详细的说明。
本发明的核心构思之一在于,在寄存器数据表格中加入小量约束,即随机类型字段内容、随机取值字段内容,通过脚本模板解析寄存器数据表格,则能够自动的生成与该测试模块相对应的测试例。
参照图1,示出了本发明一种利用脚本生成随机测试例方法实施例的流程图,包括:
步骤101,获取寄存器数据表格;所述寄存器数据表格记录的字段包括:寄存器名、读写属性、位域、域名和功能描述;
针对芯片中的每一个模块对应有一个寄存器数据表格,该表格保存在存储介质中。寄存器数据表格中记录有不同的字段。如图2所示,为本发明一种寄存器数据表格的示意图。
其中,AddrName字段对应寄存器名,该表格中记录有“CTRL”、“COMMAND”、“TX”寄存器的属性信息;Addrbute字段对应读写属性,如该表中的记录所示,“WO”表示只写,“RW”表示可读可写;SubBits字段对应位域,RegName字段对应域名,例如EN占据CTRL寄存器的第27位);Description字段对应功能描述,此外,该表格中还记录有DefaultValue字段,对应默认值。可以理解的是,一个寄存器对应有多个域名及其位域、功能描述等。
需要说明的是,寄存器数据表格中记录有大量的信息,本发明实施例仅给出了其中一小部分,以进行举例说明。
步骤102,根据用户的设置在寄存器数据表格中添加随机类型字段、随机取值字段、及其字段内容;
为了能够自动的生成随机测试例,需要用户(如验证工程师)预先在该寄存器数据表格进行相应的配置,加入随机测试的约束。
本发明实施例的核心是利用脚本模板解析设计模块的寄存器电子数据表格。为了方便脚本解析,根据用户的设置在寄存器数据表格中添加随机测试属性的两个字段,包括:随机类型(Randtype)字段和随机取值(R|andvalue)字段。其中,所述随机类型字段的内容包括两类:随机数据(datarand)和随机配置(cfgrand),随机取值即用户添加的测试约束值。
如图2所示,根据用户的设置,对域“SCL5F”添加随机类型为“cfgrand”,对应的随机取值为[24’h07,24’h10];对域“TX”添加随机类型为“datarand”,对应的随机取值为[8’h0:8’h40]。
步骤103,利用预置的脚本模板解析所述寄存器数据表格,将相应字段中的内容填充到所述脚本模板中,生成相应的测试例。
在本发明实施例中,编制所述脚本模板时,设置有多个待复制或填充的变量,通过解析寄存器数据表格,查找到相应字段的内容进行填充,形成一个完整的测试例。所述的脚本模板可以是perl脚本语言,则通过perl语言进行编程,解析寄存器数据表中关心的各项,然后生成SystemVerilog文件,作为一个测试例。
例如,预置的脚本模板为:
***://文件名
Class***;//定义类名
RandbitSubBitsAddrName_RegName;//声明一个属性,为随机比特(randbit)类型
ConstraintAddrName_RegName_valid//定义一个约束快
{
AddrName_RegNameinside{Randvalue};//对约束块进行约束
}
Endclass//类定义结束
其中,斜体字所填写(或代替)的即为寄存器数据表格中对应字段的内容。需要说明的是,通过解析寄存器数据表格获得的字段内容,不完全包括所述表格中所有的字段内容,而是根据预先编辑的脚本模板,解析相关联的部分字段,如本发明实施例中,只解析AddrName、RegName、SubBits、Randvalue字段。
在本发明的一个优选实施例中,所述利用预置的脚本模板解析所述寄存器数据表格,包括:利用脚本模板对所述寄存器表进行逐行扫描解析;当该行的随机类型字段不为空时,读取该行中相应字段的内容作为脚本模板的填充变量。
具体的,perl脚本模板针对寄存器数据表格一行一行地进行扫描,当其解析到Randtype字段的内容为空时,随机属性为空,也就是无效,则这行的数据是脚本模板不关心的,对该行不作任何处理。但是,如果Randtype字段的内容非空时,则需要将该行中它关心的信息读取出来。
在本发明的优选实施例中,通过函数调用的方式将相应字段中的内容填充到所述脚本模板中。
例如,当扫描到“CTRL”寄存器的第五行时,Randtype字段的内容非空,读取寄存器数据表格中“scl5f这个字符串,将其作为脚本模板的填充变量。那么可以通过下面的代码自动插入到模板中:
AddrName_RegNam=“scl5f”;//这里是将scl5f赋给一个变量,变量取值从寄存器数据表格表中解析出来。
脚本模板中的某句代码为:
ConstraintAddrName_RegName_valid;
则通过类似printf的函数将这些内容作为所述脚本模板的填充变量,输出到测试例文件里即可。如:
Printf“ConstraintAddrName_RegName_valid”;
这样就生成出了下面一句代码:
Constraintctrl_scl5f_valid
进一步的,当随机类型字段的内容为随机数据时,生成的测试例为激励文件,也即脚本模板将标有此类型的寄存器变量解析为随机数据,存放在激励文件中,该激励文件用于在测试时产生随机的测试数据。当随机类型字段的内容为随机配置时,生成的测试例为配置文件,也即脚本模板将标有此类型的寄存器变量解析为随机配置,存放在配置文件中,该配置文件用于在测试时产生随机的配置数据。脚本模板解析随机取值字段,为随机数据和随机配置加入约束,使得随机数据和随机配置的数据取值在验证工程师的需求内。
在本发明实施例中,根据解析图2所示的寄存器数据表格,SCL5F的Randtype字段内容为“cfgrand”,不为空,将相关字段的内容填充到脚本模板中,根据“cfgrand”生成的测试例为i2c_cfg.sv配置文件,如下所示:
I2c_cfg.sv://文件名为i2c_cfg.sv的配置文件
Classi2c_cfg;//定义一个名为i2c_cfg的类
Randbit[23:0]ctrl_scl5f;//声明一个名为ctrl_csl5f的属性,为随机比特类型,位宽是24位(23至0位)
Constraintctrl_scl5f_valid//定义一个名为ctrl_scl5f_valid的约束块
{//约束块的起始
Ctrl_scl5finside{24’h07,24’h10};//将ctrl_scl5f这个属性约束为16进制的7或者10
}//约束块的结束
Endclass//类定义结束
进一步遍历每一行,TX的Randtype字段内容为“datarand”,不为空,将相关字段的内容填充到脚本模板中,根据“datarand”生成的测试例为i2c_trans.sv激励文件,如下所示:
I2c_trans.sv://文件名为i2c_trans.sv的配置文件
Classi2c_transextendsvmm_data;//定义一个名为i2c_trans的类,其继承自vmm_data类
Randbit[7:0]tx_tx;//声明一个名为tx_tx的属性,它是随机比特类型,位宽是8位(7至0位)
Constrainttx_tx_valid//定义一个名为tx_tx_valid的约束块
{//约束块的起始
Tx_txinside{[8’h0:8’h40]};//将tx_tx这个属性约束为16进制的0至40之间的任意值
}//约束块的结束
Endclass//类定义结束
通过本发明实施例,脚本模板通过解析寄存器数据表格自动的生成相应的测试例,无需测试人员手动编写测试例,大大降低了测试人员的工作量,提高了测试效率,加快了模块验证的整体进度,缩短了芯片验证周期。特别是当设计模块的寄存器很多时,此方法的优势将体现得更淋漓尽致,所有代码通过脚本自动生成,节省了验证工程师编程的时间。此外,由于生成的测试例的内容来源于寄存器数据表格,与表格严格同步,相比于用户手动编写,提高了测试的准确度。
参照图3,示出了本发明一种利用脚本生成随机测试例系统实施例的结构图,包括:
表格获取单元301,用于获取寄存器数据表格;所述寄存器数据表格记录的字段包括:寄存器名、读写属性、位域、域名和功能描述;
字段添加单元302,用于根据用户的设置在寄存器数据表格中添加随机类型字段、随机取值字段、及其字段内容;
测试例生成单元303,用于利用预置的脚本模板解析所述寄存器数据表格,将相应字段中的内容填充到所述脚本模板中,生成相应的测试例。
在本发明的一个优选实施例中,如图3所示,所述利测试例生成单元303包括:
扫描解析子单元3031,用于利用脚本模板对所述寄存器表进行逐行扫描解析;
变量读取子单元3032,用于当该行的随机类型字段不为空时,读取该行中相应字段的内容作为脚本模板的填充变量。
具体的,脚本模板针对寄存器数据表格一行一行地进行扫描,当其解析到随机类型字段的内容为空时,则这行的数据是脚本模板不关心的,对该行不作任何处理。但是,如果随机类型字段的内容非空时,则需要将该行中它关心的信息读取出来。
进一步,所述测试例生成单元通过函数调用的方式将相应字段中的内容填充到所述脚本模板中。
在本发明的一个优选实施例中,所述随机类型字段的内容包括两类:随机数据和随机配置。
进一步,当随机类型字段的内容为随机数据时,所述测试例生成单元生成的测试例为激励文件,也即脚本模板将标有此类型的寄存器变量解析为随机数据,存放在激励文件中;当随机类型字段的内容为随机配置时,所述测试例生成单元生成的测试例为配置文件,也即脚本模板将标有此类型的寄存器变量解析为随机配置,存放在配置文件中。脚本模板解析随机取值字段,为随机数据和随机配置加入约束,使得随机数据和随机配置的数据取值在验证工程师的需求内。
本说明书中的各个实施例均采用递进的方式描述,每个实施例重点说明的都是与其他实施例的不同之处,各个实施例之间相同相似的部分互相参见即可。对于系统实施例而言,由于其与方法实施例基本相似,所以描述的比较简单,相关之处参见方法实施例的部分说明即可。
以上对本发明所提供的一种利用脚本生成随机测试例方法和系统,进行了详细介绍,本文中应用了具体个例对本发明的原理及实施方式进行了阐述,以上实施例的说明只是用于帮助理解本发明的方法及其核心思想;同时,对于本领域的一般技术人员,依据本发明的思想,在具体实施方式及应用范围上均会有改变之处,综上所述,本说明书内容不应理解为对本发明的限制。

Claims (8)

1.一种利用脚本生成随机测试例方法,其特征在于,包括:
获取寄存器数据表格;所述寄存器数据表格记录的字段包括:寄存器名、读写属性、位域、域名和功能描述;
根据用户的设置在寄存器数据表格中添加随机类型字段、随机取值字段、及其字段内容;
利用预置的脚本模板解析所述寄存器数据表格,将相应的所述寄存器数据表格记录的字段中的内容填充到所述脚本模板中,生成相应的测试例;
其中,所述利用预置的脚本模板解析所述寄存器数据表格,包括:利用脚本模板对所述寄存器数据表格进行逐行扫描解析;当该行的随机类型字段不为空时,读取该行中相应字段的内容作为脚本模板的填充变量。
2.如权利要求1所述的方法,其特征在于,
通过函数调用的方式将相应字段中的内容填充到所述脚本模板中。
3.如权利要求1所述的方法,其特征在于,
所述随机类型字段的内容包括两类:随机数据和随机配置。
4.如权利要求3所述的方法,其特征在于,
当随机类型字段的内容为随机数据时,生成的测试例为激励文件;
当随机类型字段的内容为随机配置时,生成的测试例为配置文件。
5.一种利用脚本生成随机测试例系统,其特征在于,包括:
表格获取单元,用于获取寄存器数据表格;所述寄存器数据表格记录的字段包括:寄存器名、读写属性、位域、域名和功能描述;
字段添加单元,用于根据用户的设置在寄存器数据表格中添加随机类型字段、随机取值字段、及其字段内容;
测试例生成单元,用于利用预置的脚本模板解析所述寄存器数据表格,将相应的所述寄存器数据表格记录的字段中的内容填充到所述脚本模板中,生成相应的测试例;
其中,所述测试例生成单元包括:扫描解析单元,用于利用脚本模板对所述寄存器数据表格进行逐行扫描解析;变量读取单元,用于当该行的随机类型字段不为空时,读取该行中相应字段的内容作为脚本模板的填充变量。
6.如权利要求5所述的系统,其特征在于,
所述测试例生成单元通过函数调用的方式将相应字段中的内容填充到所述脚本模板中。
7.如权利要求5所述的系统,其特征在于,
所述随机类型字段的内容包括两类:随机数据和随机配置。
8.如权利要求7所述的系统,其特征在于,
当随机类型字段的内容为随机数据时,所述测试例生成单元生成的测试例为激励文件;
当随机类型字段的内容为随机配置时,所述测试例生成单元生成的测试例为配置文件。
CN201010282714.8A 2010-09-14 2010-09-14 一种利用脚本生成随机测试例方法和系统 Active CN102402430B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201010282714.8A CN102402430B (zh) 2010-09-14 2010-09-14 一种利用脚本生成随机测试例方法和系统

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201010282714.8A CN102402430B (zh) 2010-09-14 2010-09-14 一种利用脚本生成随机测试例方法和系统

Publications (2)

Publication Number Publication Date
CN102402430A CN102402430A (zh) 2012-04-04
CN102402430B true CN102402430B (zh) 2016-03-09

Family

ID=45884665

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010282714.8A Active CN102402430B (zh) 2010-09-14 2010-09-14 一种利用脚本生成随机测试例方法和系统

Country Status (1)

Country Link
CN (1) CN102402430B (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102722537A (zh) * 2012-05-22 2012-10-10 苏州阔地网络科技有限公司 一种数据库测试数据的生成方法及系统
CN103530223B (zh) * 2012-07-06 2018-05-04 百度在线网络技术(北京)有限公司 一种自动生成单元测试用例的方法及装置
CN106294052A (zh) * 2016-07-25 2017-01-04 北京联盛德微电子有限责任公司 一种寄存器读写的测试方法和装置
CN106873573A (zh) * 2017-02-20 2017-06-20 郑州云海信息技术有限公司 一种嵌入式控制芯片全功能覆盖的自动测试方法和装置
CN107016165B (zh) * 2017-03-09 2020-10-20 记忆科技(深圳)有限公司 一种SoC自动化随机验证的方法
CN107133149A (zh) * 2017-05-12 2017-09-05 郑州云海信息技术有限公司 一种宕机时快速获取相关寄存器数值的方法
CN107797846B (zh) * 2017-09-26 2020-07-14 记忆科技(深圳)有限公司 一种Soc芯片验证方法
CN108062276A (zh) * 2017-12-19 2018-05-22 北京小度信息科技有限公司 测试用例的生成方法及装置和测试报告的生成方法及装置
CN109117518B (zh) * 2018-07-21 2022-09-20 中国人民解放军战略支援部队信息工程大学 一种寄存器读写访问验证系统及方法
CN109299009A (zh) * 2018-09-25 2019-02-01 金蝶软件(中国)有限公司 数据测试方法、装置、计算机设备和存储介质
CN109918256A (zh) * 2019-03-11 2019-06-21 中电海康无锡科技有限公司 一种寄存器测试方法及系统
CN111427973B (zh) * 2020-04-21 2023-05-05 上海新致软件股份有限公司 一种规划表数据解析方法
CN112433699A (zh) * 2020-11-05 2021-03-02 北京中电华大电子设计有限责任公司 一种用于se个人化的脚本引擎
CN113806234A (zh) * 2021-10-11 2021-12-17 芯河半导体科技(无锡)有限公司 一种芯片寄存器提取及测试方法
CN113986747A (zh) * 2021-11-05 2022-01-28 京东科技信息技术有限公司 一种数据生成方法、装置、电子设备及存储介质

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101286182A (zh) * 2008-05-16 2008-10-15 浙江大学 一种用于嵌入式处理器功能验证的动态仿真平台方法
CN101515301A (zh) * 2008-02-23 2009-08-26 炬力集成电路设计有限公司 一种片上系统芯片验证的方法和装置
CN101763451A (zh) * 2010-01-01 2010-06-30 江苏华丽网络工程有限公司 大规模网络芯片验证平台的建立方法
CN101770388A (zh) * 2009-01-06 2010-07-07 华为技术有限公司 获取芯片代码信息的方法和装置

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6728916B2 (en) * 2001-05-23 2004-04-27 International Business Machines Corporation Hierarchical built-in self-test for system-on-chip design

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101515301A (zh) * 2008-02-23 2009-08-26 炬力集成电路设计有限公司 一种片上系统芯片验证的方法和装置
CN101286182A (zh) * 2008-05-16 2008-10-15 浙江大学 一种用于嵌入式处理器功能验证的动态仿真平台方法
CN101770388A (zh) * 2009-01-06 2010-07-07 华为技术有限公司 获取芯片代码信息的方法和装置
CN101763451A (zh) * 2010-01-01 2010-06-30 江苏华丽网络工程有限公司 大规模网络芯片验证平台的建立方法

Also Published As

Publication number Publication date
CN102402430A (zh) 2012-04-04

Similar Documents

Publication Publication Date Title
CN102402430B (zh) 一种利用脚本生成随机测试例方法和系统
CN104035859B (zh) 一种可视化自动测试方法及其系统
CN104268073A (zh) 一种批量生成测试用例的方法
CN104407951A (zh) 一种自动化进行服务器整机测试的方法
JP6387182B2 (ja) 情報処理方法、装置及びコンピュータ記憶媒体
CN113835945B (zh) 芯片的测试方法、装置、设备及系统
CN106469140A (zh) 一种报表生成系统及其方法
US8234102B2 (en) Development of assertions for integrated circuit design simulation
CN102592023A (zh) 集成电路设计过程中的寄存器设计方法及装置
CN108984393A (zh) 一种单元测试代码自动生成方法及装置
KR20080010528A (ko) Usb 장치 테스트 방법 및 그 시스템
US9524366B1 (en) Annotations to identify objects in design generated by high level synthesis (HLS)
CN107608894A (zh) 基于动态模型的软件测试文档生成方法、系统及存储介质
CN107608895A (zh) 基于静态模型的软件测试文档生成方法、系统及存储介质
CN109446586A (zh) 一种高效通用芯片测试系统
CN109933770A (zh) 一种测试报告生成方法、系统及电子设备和存储介质
CN102565683B (zh) 一种测试向量的生成与验证方法
US8140315B2 (en) Test bench, method, and computer program product for performing a test case on an integrated circuit
CN101770388A (zh) 获取芯片代码信息的方法和装置
Goli et al. Automated analysis of virtual prototypes at electronic system level
CN116501415B (zh) 命令执行方法及装置、电子设备、计算机可读存储介质
US20120095737A1 (en) Power estimator and power estimation method
CN110502735B (zh) 一种电子设备通用工艺文件快速制作方法及系统
CN109471637B (zh) 电路图的审查脚本调试方法
CN115470125B (zh) 基于日志文件的调试方法、设备以及存储介质

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
CB02 Change of applicant information

Address after: 214000 Jiangsu province Wuxi District Qingyuan Road No. 18 Taihu International Science Park sensor network university science and Technology Park 530 building A1001

Applicant after: WUXI ZHONGGAN MICROELECTRONIC CO., LTD.

Address before: 214028 national integrated circuit design (21-1), Changjiang Road, New District, Jiangsu, Wuxi, China, China (610)

Applicant before: Wuxi Vimicro Co., Ltd.

COR Change of bibliographic data
C14 Grant of patent or utility model
GR01 Patent grant