CN1292470C - 在低介电常数材料层中形成开口的方法 - Google Patents

在低介电常数材料层中形成开口的方法 Download PDF

Info

Publication number
CN1292470C
CN1292470C CNB021410232A CN02141023A CN1292470C CN 1292470 C CN1292470 C CN 1292470C CN B021410232 A CNB021410232 A CN B021410232A CN 02141023 A CN02141023 A CN 02141023A CN 1292470 C CN1292470 C CN 1292470C
Authority
CN
China
Prior art keywords
layer
opening
curtain
hard
dielectric constant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB021410232A
Other languages
English (en)
Other versions
CN1433062A (zh
Inventor
王志荣
陈东郁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Publication of CN1433062A publication Critical patent/CN1433062A/zh
Application granted granted Critical
Publication of CN1292470C publication Critical patent/CN1292470C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种在低介电常数材料层中形成开口的方法。依序在具有金属线的基底上形成盖层、第一介电层、蚀刻阻挡层、第二介电层、CMP阻挡层、金属硬罩幕层、硬罩幕层、以及BARC层,在定义硬罩幕层以及金属硬罩幕层以形成一第一开口以后,在硬罩幕层上形成一种液态充填材料层并填满第一开口,用一层定义过的光阻层作为罩幕定义充填材料层以及低介电常数介电层,以得到一个第二开口,将光阻层随着充填材料一并移除以后,利用金属硬罩幕层以及硬罩幕层作为罩幕,盖层为蚀刻阻挡层,以形成一个镶嵌开口。

Description

在低介电常数材料层中形成开口的方法
技术领域
本发明是有关于一种制作半导体元件的方法,且特别是有关于一种在低介电常数材料层中形成开口的方法。
背景技术
在半导体的制作过程中,当芯片上的元件尺寸变小时,内连线间距的密度就会增加,因为广泛的使用到具有高介电常数的氧化硅介电层,就很容易造成高RC延迟,因此在高速IC中的内金属介电层(IMD),便用低介电常数(low-k)的介电材料来取代。使用低介电常数的介电材料的优点像是可以降低内连线的寄生电容,连带降低RC延迟,或是缓和金属线之间的干扰,因此可以改善操作的速度,所以低介电常数的介电材料是一种非常普遍的用于高速IC中的IMD材料。
低介电常数材料包括像是HSQ(氢化硅倍半氧化物)、FSG(掺氟的氧化硅)以及CORAL(掺碳的氧化硅)的无机材料,以及像是flare(聚芳香烯醚)、SILK(芳香族碳氢化合物)以及二甲苯塑料等有机材料。
在一种传统用来形成镶嵌开口的工艺是先形成介层洞,如图1所示,此方法会在预先提供的基底100之间的内连线(未显示)上形成一层盖氮化物层,之后依序在盖氮化物层上形成第一低介电常数介电层104、阻挡层106、第二低介电常数介电层108、化学机械研磨阻挡层110、以及底部抗反射涂布(BARC)层(未显示),然后在底部抗反射涂布层上形成一层定义过的第一光阻层用来定义介层洞;用第一光阻层当作罩幕,而盖氮化物层作为一层蚀刻阻挡层,进行第一道非等向性蚀刻工艺,穿过这些结构层而形成介层洞开口。
在移除第一光阻层以后,进行一道填满间隙的步骤,用聚合物材料层填满介层洞,借以保护盖氮化物层;在聚合物材料层上形成一层定义过的第二光阻层以后,进行第二到非等向性蚀刻工艺,以阻挡层作为蚀刻阻挡层,定义出一沟渠,图1即为用上述工艺制作的一种公知镶嵌开口结构。
但是,如图1所示,覆盖在介层洞开口的聚合物材料层会在开口120上端周围形成一个栅栏状的外观,这是因为聚合物材料层阻碍了蚀刻,结果会造成第二低介电常数介电层108的不完全移除。
此外,当第二光阻层接着被像是氮/氧的等离子抛磨工艺或是氮/氢的等离子工艺之类的光阻移除工艺剥除时,使用的光阻移除工艺通常会损害到第二介电层108的侧壁107,导致低介电常数材料层的介电常数有变动。再者,受损侧壁107的低介电常数介电材料会有吸收水气的倾向,会造成后续金属化工艺的品质退化。
发明内容
有鉴于此,本发明的目的之一在于提供一种在低介电常数材料层中形成开口的方法,用等离子剥除光阻的缺点可以被改善,且不会形成栅栏状的外观,因此更适合用于在低介电常数材料层中形成开口的工艺上,特别是在含有金属导线或内连线的低介电常数材料层上。
为达本发明的上述与其它目的,本发明提供一种在低介电常数材料层中形成开口的方法,依序在具有金属线的基底上形成盖层、第一介电层、蚀刻阻挡层、第二介电层、CMP阻挡层、金属硬罩幕层、硬罩幕层、以及BARC层,其中第一与第二介电层是低介电常数的介电层。之后,在BARC层形成一层定义过的第一光阻层,用来定义BARC层、硬罩幕层以及金属硬罩幕层,以形成一第一开口;接着将第一光阻层随着BARC层移除,而后形成一层新的BARC层作为一层BARC并填满第一开口,用形成在此BARC层上的一层定义过的第二光阻层作为罩幕,定义出一个第二开口,将第二光阻层随着BARC层移除,利用金属硬罩幕层以及硬罩幕层作为罩幕以形成一个镶嵌开口,之后移除盖层,便完成双重镶嵌的内连线结构。
通过沿着填满间隙的BARC材料层使用定义过的硬罩幕层以及定义过的金属硬罩幕层作为罩幕,可以保护低介电常数介电材料层,使其不被剥除光阻时使用的等离子损伤,当第二开口的侧壁可能在光阻剥除的过程中受到损害,也可以在接下来的非等向性蚀刻工艺中加以移除,因此可以避免品质退化。
另外,在制作介层洞开口期间不需要间隙填充过程,因此可以避免栅栏状的外观。
附图说明
图1为一种公知的镶嵌开口结构,是用公知一种先形成介层洞来制作镶嵌开口的方法制作形成;
图2A-图2I为依照本发明一较佳实施例的一种在低介电常数材料层中形成镶嵌开口的方法的工艺剖面图。
100,200:基底              201:金属导线
104,108,204,208:低介电常数介电层
202:盖层                   106,206:阻挡层
107:开口侧壁               110,210:CMP阻挡层
120,222:开口
212,212a:金属硬罩幕层     214,214a:硬罩幕层
216,216a:BARC层           220,230:光阻层
224:BARC材料层             234:镶嵌开口
232,234b:介层洞开口       234a:沟渠开口
具体实施方式
图2A-图2I为依照本发明一较佳实施例的一种在低介电常数材料层中形成镶嵌开口的方法的工艺剖面图。
请参照图2A,提供一个有金属导线201形成于其中的半导体基底200,在基底200与金属导线201上形成一层盖层202,盖层比如为氮化物层,其厚度约为400-700埃,较适当的厚度为500埃。接着,依序在盖氮化层202上形成一层第一介电层204、一层蚀刻阻挡层206与一层第二介电层208,第一与第二介电层204,208为低介电常数介电层,比如是用含有硅的无机聚合物,像是CORALTM或是BlackDiamondTM等,第一与第二介电层204,208比如用CVD形成,其厚度约为2000-3000埃,介电层的厚度可以随着在基底200上形成的结构做调整;而蚀刻阻挡层206比如为氮化硅层或是碳化硅层,其厚度约为400-700埃,较适当的厚度为500埃。
接着,依序在第二介电层208上形成一层化学机械研磨(CMP)阻挡层210、一层金属硬罩幕层212、一层硬罩幕层214以及一层底部抗反射涂布(BARC)层216,其中化学机械研磨阻挡层210比如为氮化硅层或是碳化硅层,其厚度约为400-700埃,较佳为500埃;金属硬罩幕层212的材料包括钽、氮化坦、钨、氮化钨、氮化钛以及钛,比如用CVD或是溅镀法形成,金属硬罩幕层212的厚度约为100-300埃,较佳为200埃;硬罩幕层214比如为氮化硅层或是碳化硅层,其厚度约为1000-2000埃,较佳为1500埃;金属硬罩幕212与硬罩幕214的形成是本发明的优点之一。
之后,在BARC层216上形成一层定义过的第一光阻层220。
请参照图2B,把定义过的光阻层220作为罩幕,移除部份的BARC层216、硬罩幕层214以及金属硬罩幕层212,直到暴露出CMP阻挡层210为止,所以会在BARC层216a、硬罩幕层214a以及金属硬罩幕层212a之中形成开口222。
请参照图2C,用等离子作为清除剂,移除第一光阻层220,因为BARC层216的材质与光阻层220的材质相似,所以BARC层216a会随着第一光阻层220一并被除去,而因为介电层202,208会被CMP阻挡层保护住而不会暴露在等离子下,因此可以避免受到等离子的损害。
请参照图2D,比如利用旋涂法在定义过的硬罩幕层214a上形成一层BARC材料层224,并填满开口222,BARC材料层224的材料比如为液态的有机聚合物,与光阻材料相似但不具有感旋光性;此BARC材料层224可以作为BARC并填满开口,之后再于此BARC材料层224上形成一层第二光阻层230。
请参照图2E,用第二光阻层230作为罩幕,进行第一道非等向性蚀刻工艺,以移除BARC层224、CMP阻挡层210、第二介电层208以及蚀刻阻挡层206,以形成一个介层洞开口232,此介层洞开口232的深度可以随着工艺的需要做调整;也就是说非等向性蚀刻在碰到蚀刻阻挡层206之前、当时或之后停止均可,并不会暴露出盖层202与金属导线。
请参照图2F,进行等离子工艺以移除第二光阻层230,因为BARC材料层224的材质与光阻材料230的材质相近,所以BARC材料层224会随着第二光阻层230一并被移除。
请参照图2G,以硬罩幕层214a与金属硬罩幕层212a作为罩幕,进行第二道非等向性蚀刻工艺,以形成一个镶嵌开口234,此镶嵌开口234包括一个沟渠开口234a与一个介层洞开口234b,通过控制介层洞开口232的深度与蚀刻条件,沟渠开口234a会被蚀刻直到暴露出蚀刻阻挡层206为止,此时介层洞开口234b的形成则是用盖层202作为蚀刻阻挡层。
虽然在剥除光阻时,介层洞开口232的侧壁会暴露在等离子中而受到破坏,但是受到破坏的介层洞开口232侧壁会在第二道非等向性蚀刻步骤中被移除。
请参照图2H,移除盖层202以暴露出下层在基底200中的金属导线201,盖层202被移除的方法可以是干蚀刻或是湿蚀刻,假如硬罩幕214a层用的材质与盖层202相同,比如都是用氮化硅,那么硬罩幕层214a就会随着盖层202一并被移除。
之后,形成一层导电层(未显示)以填满镶嵌开口234,导电层的材料包括用溅镀或是CVD法形成的铝、铜或其它的金属。接着用CMP对导电层进行平坦化的步骤,以CMP阻挡层210作为研磨阻挡层,借以在开口234内形成内连线236,如图2I所示,其中金属硬罩幕层212a与CMP阻挡层210会在CMP过程中一并被移除。
接下来的工艺步骤为本领域技术人员熟知,所以将不在此赘述。
通过使用定义过的硬罩幕层以及定义过的金属硬罩幕层沿着填充间隙的BARC材料层用来作为罩幕,可以保护低介电常数介电层不会受到剥除光阻时使用等离子造成的破坏,此外在介层洞的开口形成中不需要有填充间隙的步骤,因此可以避免有栅栏状的外观出现。

Claims (20)

1.一种在低介电常数材料层中形成开口的方法,其特征是,该方法包括下列步骤:
提供一基底,含有一金属导线;
依序在具有该基底上形成一盖层、一第一介电层、一第一阻挡层、一第二介电层、一第二阻挡层、一金属硬罩幕层、一硬罩幕层、以及一抗反射层,其中该第一与该第二介电层为低介电常数的介电层;
形成一定义过的第一光阻层于该抗反射层上,以定义该抗反射层、该硬罩幕层以及该金属硬罩幕层,因而形成一第一开口以暴露出该第二阻挡层;
移除该第一光阻层与该抗反射层;
形成一充填材料层于该硬罩幕层上并填满该第一开口;
形成一定义过的第二光阻层于该充填材料层上,用以定义该充填材料层、该第二阻挡层、该第二介电层以及该第一阻挡层,因而形成一第二开口而暴露出该第一介电层;
移除该第二光阻层与该充填材料层;
以该金属硬罩幕层以及该硬罩幕层作为一罩幕,进行一非等向性蚀刻步骤,以形成一个镶嵌开口,其中该镶嵌开口会暴露出该盖层;以及
移除暴露的该盖层,以暴露出下层的该金属导线。
2.如权利要求1所述的方法,其特征是,该镶嵌开口包括一沟渠开口以及一介层洞开口,而该第一阻挡层与该盖层分别用来作为形成该沟渠开口与该介层洞开口的蚀刻阻挡层。
3.如权利要求1所述的方法,其特征是,形成该第一与该第二介电层的材料包括含有硅的无机聚合物。
4.如权利要求1所述的方法,其特征是,该抗反射层为一底部抗反射涂布层。
5.如权利要求1所述的方法,其特征是,该充填材料层为用液态的有机聚合物组成的一底部抗反射涂布层。
6.如权利要求1所述的方法,其特征是,该金属硬罩幕层所用的材料选自钽、氮化钽、钛、氮化钛、钨以及氮化钨其中之一。
7.如权利要求1所述的方法,其特征是,该第一阻挡层可以是一氮化硅层或是一碳化硅层。
8.如权利要求1所述的方法,其特征是,该第二阻挡层可以是一氮化硅层或是一碳化硅层。
9.如权利要求1所述的方法,其特征是,该硬罩幕层可以是一氮化硅层或是一碳化硅层。
10.如权利要求1所述的方法,其特征是,进一步包括在移除暴露的该盖层以后,于该镶嵌开口中形成一双重镶嵌内连线结构。
11.如权利要求10所述的方法,其特征是,于该镶嵌开口中形成该双重镶嵌内连线结构的步骤包括:
形成一导电层填入该镶嵌开口;以及
以该第二阻挡层作为一研磨阻挡层,利用化学机械研磨平坦化该导电层以在该镶嵌开口中形成该双重镶嵌内连线结构。
12.一种在低介电常数材料层中形成开口的方法,其特征是,该方法包括下列步骤:
提供一基底,至少包括一金属导线,其中有一盖层与一低介电常数介电层依序形成于该基底上;
形成一金属硬罩幕层与一硬罩幕层于该低介电常数介电层上;
定义该硬罩幕层以及该金属硬罩幕层,以形成一第一开口;
形成一充填材料层于该定义过的硬罩幕层上并填满该第一开口;
形成一定义过的光阻层于该充填材料层上;
用该定义过的光阻层作为一罩幕,以蚀刻该充填材料层与该低介电常数介电层,其中该低介电常数介电层会被蚀刻到不会暴露出该盖层的一预定深度;
移除该光阻层与该充填材料层;
以定义过的该金属硬罩幕层以及该硬罩幕层作为一罩幕,而该盖层用以作为一蚀刻阻挡层,来蚀刻该低介电常数介电层以形成一个镶嵌开口;
移除暴露于该镶嵌开口中的该盖层;
形成一导电层填满该镶嵌开口;以及
利用化学机械研磨平坦化该导电层以在该镶嵌开口中形成该双重镶嵌内连线结构。
13.如权利要求12所述的方法,其特征是,在该低介电常数介电层中进一步包括一阻挡层,且其中该阻挡层可以在该低介电常数介电层被蚀刻到不会暴露出该盖层的一预定深度时用来作为一蚀刻阻挡层。
14.如权利要求12所述的方法,其特征是,在该低介电常数介电层与该金属硬罩幕层之间进一步包括一硬层,此硬层可以在该金属硬罩幕层以及该硬罩幕层被定义的时候用来作为一蚀刻阻挡层。
15.如权利要求12所述的方法,其特征是,该镶嵌开口包括一沟渠开口以及一介层洞开口,而该第一阻挡层与该盖层分别用来作为形成该沟渠开口与该介层洞开口的蚀刻阻挡层。
16.如权利要求12所述的方法,其特征是,该充填材料层为用液态的有机聚合物组成的一底部抗反射涂布层。
17.如权利要求12所述的方法,其特征是,该金属硬罩幕层所用的材料选自钽、氮化钽、钛、氮化钛、钨以及氮化钨其中之一。
18.如权利要求12所述的方法,其特征是,该硬罩幕层可以是一氮化硅层或是一碳化硅层。
19.如权利要求13所述的方法,其特征是,该阻挡层可以是一氮化硅层或是一碳化硅层。
20.如权利要求14所述的方法,其特征是,该硬罩幕层可以是一氮化硅层或是一碳化硅层。
CNB021410232A 2002-01-10 2002-07-11 在低介电常数材料层中形成开口的方法 Expired - Lifetime CN1292470C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/044,322 US6638871B2 (en) 2002-01-10 2002-01-10 Method for forming openings in low dielectric constant material layer
US10/044,322 2002-01-10

Publications (2)

Publication Number Publication Date
CN1433062A CN1433062A (zh) 2003-07-30
CN1292470C true CN1292470C (zh) 2006-12-27

Family

ID=21931726

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB021410232A Expired - Lifetime CN1292470C (zh) 2002-01-10 2002-07-11 在低介电常数材料层中形成开口的方法

Country Status (2)

Country Link
US (3) US6638871B2 (zh)
CN (1) CN1292470C (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103296078A (zh) * 2012-02-23 2013-09-11 宜普电源转换公司 具有栅极隔离物的增强型GaN高电子迁移率晶体管器件及其制备方法

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6831013B2 (en) * 2001-11-13 2004-12-14 United Microelectronics Corp. Method of forming a dual damascene via by using a metal hard mask layer
US6815820B2 (en) * 2002-05-09 2004-11-09 Freescale Semiconductor, Inc. Method for forming a semiconductor interconnect with multiple thickness
ITMI20021985A1 (it) * 2002-09-18 2004-03-19 St Microelectronics Srl Metodo per la fabbricazione di dispositivi elettronici a semiconduttore
KR100457044B1 (ko) * 2002-09-25 2004-11-10 삼성전자주식회사 반도체 소자의 제조 방법
US20040219796A1 (en) * 2003-05-01 2004-11-04 Chih-Ning Wu Plasma etching process
US7052621B2 (en) * 2003-06-13 2006-05-30 Infineon Technologies Ag Bilayered metal hardmasks for use in Dual Damascene etch schemes
US7247555B2 (en) * 2004-01-29 2007-07-24 Chartered Semiconductor Manufacturing Ltd. Method to control dual damascene trench etch profile and trench depth uniformity
US20050184288A1 (en) * 2004-02-25 2005-08-25 Tien-I Bao Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
US7732326B2 (en) * 2004-02-25 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
JP2006024811A (ja) * 2004-07-09 2006-01-26 Sony Corp 半導体装置の製造方法
US7189635B2 (en) * 2004-09-17 2007-03-13 Hewlett-Packard Development Company, L.P. Reduction of a feature dimension in a nano-scale device
US7335980B2 (en) * 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7045464B1 (en) * 2004-11-15 2006-05-16 International Business Machines Corporation Via reactive ion etching process
KR100632658B1 (ko) * 2004-12-29 2006-10-12 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US20060148243A1 (en) * 2004-12-30 2006-07-06 Jeng-Ho Wang Method for fabricating a dual damascene and polymer removal
CN100353520C (zh) * 2005-01-07 2007-12-05 联华电子股份有限公司 制作双镶嵌结构以及清除其残余聚合物的方法
JP5186086B2 (ja) * 2005-04-11 2013-04-17 アイメック デュアル・ダマシン・パターニング・アプローチ
DE102005020060B4 (de) * 2005-04-29 2012-02-23 Advanced Micro Devices, Inc. Verfahren zum Strukturieren eines Dielektrikums mit kleinem ε unter Anwendung einer Hartmaske
US7192878B2 (en) * 2005-05-09 2007-03-20 United Microelectronics Corp. Method for removing post-etch residue from wafer surface
US7531448B2 (en) * 2005-06-22 2009-05-12 United Microelectronics Corp. Manufacturing method of dual damascene structure
US7857982B2 (en) * 2005-07-19 2010-12-28 Micron Technology, Inc. Methods of etching features into substrates
CN100423226C (zh) * 2005-07-19 2008-10-01 联华电子股份有限公司 双镶嵌结构的制造方法
US20070066047A1 (en) * 2005-09-18 2007-03-22 Jianhui Ye Method of forming opening and contact
US20070099417A1 (en) * 2005-10-28 2007-05-03 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
US7514365B2 (en) * 2005-11-16 2009-04-07 United Microelectronics Corp. Method of fabricating opening and plug
US20070205507A1 (en) * 2006-03-01 2007-09-06 Hui-Lin Chang Carbon and nitrogen based cap materials for metal hard mask scheme
US20070249165A1 (en) * 2006-04-05 2007-10-25 Huang Chun-Jen Dual damascene process
US7704680B2 (en) * 2006-06-08 2010-04-27 Advanced Micro Devices, Inc. Double exposure technology using high etching selectivity
US7550377B2 (en) * 2006-06-22 2009-06-23 United Microelectronics Corp. Method for fabricating single-damascene structure, dual damascene structure, and opening thereof
US7423282B2 (en) * 2006-07-06 2008-09-09 Infineon Technologies Ag Memory structure and method of manufacture
TWI427423B (zh) * 2006-10-12 2014-02-21 Nissan Chemical Ind Ltd 藉由4層系層合物製造半導體裝置之方法
US7691754B2 (en) 2006-10-18 2010-04-06 United Microelectronics Corp. Method for removing photoresist layer and method of forming opening
US20080119040A1 (en) * 2006-11-21 2008-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a dual damascene structure
KR100802226B1 (ko) * 2006-12-21 2008-02-11 주식회사 하이닉스반도체 듀얼 다마신 패턴 형성 방법
KR100799068B1 (ko) * 2006-12-21 2008-01-29 동부일렉트로닉스 주식회사 반도체 소자 제조 방법
US7635634B2 (en) * 2007-04-16 2009-12-22 Infineon Technologies Ag Dielectric apparatus and associated methods
US20090023283A1 (en) * 2007-07-17 2009-01-22 United Microelectronics Corp. Interconnection process
US20090093114A1 (en) * 2007-10-09 2009-04-09 Sean David Burns Method of forming a dual-damascene structure using an underlayer
KR100885620B1 (ko) * 2007-12-21 2009-02-24 주식회사 동부하이텍 반도체 소자 제조방법
DE102008016425B4 (de) * 2008-03-31 2015-11-19 Advanced Micro Devices, Inc. Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
US20090314743A1 (en) * 2008-06-20 2009-12-24 Hong Ma Method of etching a dielectric layer
CN101937868B (zh) * 2009-06-30 2013-02-13 上海华虹Nec电子有限公司 集成电路中通孔的制作方法
CN102082114B (zh) * 2009-12-01 2013-03-27 中芯国际集成电路制造(上海)有限公司 双大马士革结构的形成方法
CN102420170A (zh) * 2011-05-13 2012-04-18 上海华力微电子有限公司 用于超厚顶层金属的先沟槽金属硬掩模双大马士革工艺
CN102420171A (zh) * 2011-05-13 2012-04-18 上海华力微电子有限公司 用于超厚顶层金属的双大马士革制造工艺
US8399359B2 (en) 2011-06-01 2013-03-19 United Microelectronics Corp. Manufacturing method for dual damascene structure
US8940642B2 (en) * 2011-07-20 2015-01-27 Applied Materials, Inc. Method of multiple patterning of a low-K dielectric film
CN102412196A (zh) * 2011-09-15 2012-04-11 上海华力微电子有限公司 金属铜大马士革互联结构的制造方法
CN102339793A (zh) * 2011-10-29 2012-02-01 上海华力微电子有限公司 一种半导体器件制作方法
CN103165374B (zh) * 2011-12-08 2017-05-10 中微半导体设备(上海)有限公司 一种等离子体处理装置及应用于等离子处理装置的边缘环
CN103165576B (zh) * 2011-12-13 2015-10-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
CN103426749B (zh) * 2012-05-14 2015-11-25 中芯国际集成电路制造(上海)有限公司 开口的形成方法和堆叠结构
CN103426745B (zh) * 2012-05-24 2015-12-09 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US8735295B2 (en) 2012-06-19 2014-05-27 United Microelectronics Corp. Method of manufacturing dual damascene structure
CN102737983B (zh) * 2012-07-05 2015-06-17 中微半导体设备(上海)有限公司 半导体结构的形成方法
CN102737984B (zh) * 2012-07-06 2015-08-05 中微半导体设备(上海)有限公司 半导体结构的形成方法
US8802572B2 (en) * 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
US8647991B1 (en) 2012-07-30 2014-02-11 United Microelectronics Corp. Method for forming dual damascene opening
CN103681464A (zh) * 2012-09-13 2014-03-26 中芯国际集成电路制造(上海)有限公司 通孔和沟槽的形成方法
US8921226B2 (en) 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
US8987139B2 (en) * 2013-01-29 2015-03-24 Applied Materials, Inc. Method of patterning a low-k dielectric film
CN104051324A (zh) * 2013-03-13 2014-09-17 中芯国际集成电路制造(上海)有限公司 金属互连结构的形成方法
US20140342553A1 (en) * 2013-05-14 2014-11-20 United Microelectronics Corp. Method for Forming Semiconductor Structure Having Opening
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
CN103346119A (zh) * 2013-06-27 2013-10-09 上海华力微电子有限公司 一种减小铜互连沟槽关键尺寸的方法
US8962490B1 (en) 2013-10-08 2015-02-24 United Microelectronics Corp. Method for fabricating semiconductor device
CN104752355B (zh) * 2013-12-31 2018-05-04 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN104979273B (zh) * 2014-04-04 2018-02-16 中芯国际集成电路制造(上海)有限公司 形成互连结构的方法
CN104505344B (zh) * 2014-08-20 2017-12-15 上海华力微电子有限公司 形成多孔超低介电材料的方法
CN111969104B (zh) 2019-05-20 2023-09-12 联华电子股份有限公司 半导体元件及其制作方法
CN111128865A (zh) * 2019-12-18 2020-05-08 华虹半导体(无锡)有限公司 大马士革互连制程工艺
CN113161284A (zh) 2020-01-07 2021-07-23 台湾积体电路制造股份有限公司 用于制造互连结构的方法
US11289375B2 (en) 2020-03-23 2022-03-29 International Business Machines Corporation Fully aligned interconnects with selective area deposition

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2968784B1 (ja) * 1998-06-19 1999-11-02 日本電気株式会社 研磨方法およびそれに用いる装置
US6017817A (en) * 1999-05-10 2000-01-25 United Microelectronics Corp. Method of fabricating dual damascene
KR100304708B1 (ko) * 1999-07-14 2001-11-01 윤종용 이중층 반사방지막을 갖는 반도체소자 및 그 제조방법
US6197681B1 (en) * 1999-12-31 2001-03-06 United Microelectronics Corp. Forming copper interconnects in dielectric materials with low constant dielectrics
US6399478B2 (en) * 2000-02-22 2002-06-04 Sanyo Electric Co., Ltd. Method of making a dual damascene structure with modified insulation
US6444557B1 (en) * 2000-03-14 2002-09-03 International Business Machines Corporation Method of forming a damascene structure using a sacrificial conductive layer
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
US6350700B1 (en) * 2000-06-28 2002-02-26 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6372653B1 (en) * 2000-07-07 2002-04-16 Taiwan Semiconductor Manufacturing Co., Ltd Method of forming dual damascene structure
US6309955B1 (en) * 2001-02-16 2001-10-30 Advanced Micro Devices, Inc. Method for using a CVD organic barc as a hard mask during via etch
US6455409B1 (en) * 2001-02-28 2002-09-24 Advanced Micro Devices, Inc. Damascene processing using a silicon carbide hard mask
JP2003007579A (ja) * 2001-06-19 2003-01-10 Matsushita Electric Ind Co Ltd 有機薄膜形成方法
US6696222B2 (en) * 2001-07-24 2004-02-24 Silicon Integrated Systems Corp. Dual damascene process using metal hard mask
US6790770B2 (en) * 2001-11-08 2004-09-14 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing photoresist poisoning

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103296078A (zh) * 2012-02-23 2013-09-11 宜普电源转换公司 具有栅极隔离物的增强型GaN高电子迁移率晶体管器件及其制备方法
CN103296078B (zh) * 2012-02-23 2017-01-18 宜普电源转换公司 具有栅极隔离物的增强型GaN高电子迁移率晶体管器件及其制备方法

Also Published As

Publication number Publication date
US20050110152A1 (en) 2005-05-26
US6638871B2 (en) 2003-10-28
US20030129842A1 (en) 2003-07-10
US6972259B2 (en) 2005-12-06
CN1433062A (zh) 2003-07-30
US20030129844A1 (en) 2003-07-10

Similar Documents

Publication Publication Date Title
CN1292470C (zh) 在低介电常数材料层中形成开口的方法
CN1815711A (zh) 内连线结构及其形成方法
CN1828845A (zh) 镶嵌结构与其形成方法
JP2000286257A (ja) 低比誘電率有機酸化ケイ素絶縁材料の損傷した表面を処理して吸湿を阻止するプロセス
CN1106033C (zh) 层间介电层平坦化制造方法
CN101510499B (zh) 集成电路结构的蚀刻方法
CN1652320A (zh) 内联机结构与其制造方法及集成电路组件
CN1155051C (zh) 用于腐蚀的保护单元的方法和装置
CN1128466C (zh) 制备多硅化物栅极的方法
CN1203540C (zh) 双重镶嵌结构的制造方法
CN1450597A (zh) 定义低介电常数介电层的方法
US6303489B1 (en) Spacer - defined dual damascene process method
US20230011391A1 (en) Barrier structure on interconnect wire to increase processing window for overlying via
CN1700419A (zh) 利用双镶嵌工艺来形成t型多晶硅栅极的方法
US6696359B1 (en) Design layout method for metal lines of an integrated circuit
US20020094674A1 (en) Methods for inhibiting microelectronic damascene processing induced low dielectric constant dielectric layer physical degradation
CN1440051A (zh) 缩小图案间隙且确保该间隙的方法
CN1209807C (zh) 反熔丝的制造方法
CN1610090A (zh) 可简化制程的双镶嵌制程
CN1279603C (zh) 形成双镶嵌结构的方法
CN1270369C (zh) 于间距缩小工艺中整合存储单元数组区与周边电路区的方法
CN1433061A (zh) 一种消除化学机械研磨碟化效应的内连线制造方法
CN1428839A (zh) 积体电路的双镶嵌结构的制作方法
KR100571696B1 (ko) 반도체 소자의 제조 방법
CN1525540A (zh) 相对于掺杂的碳化硅选择蚀刻有机硅酸盐玻璃的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20061227