JP2000286257A - 低比誘電率有機酸化ケイ素絶縁材料の損傷した表面を処理して吸湿を阻止するプロセス - Google Patents

低比誘電率有機酸化ケイ素絶縁材料の損傷した表面を処理して吸湿を阻止するプロセス

Info

Publication number
JP2000286257A
JP2000286257A JP11366315A JP36631599A JP2000286257A JP 2000286257 A JP2000286257 A JP 2000286257A JP 11366315 A JP11366315 A JP 11366315A JP 36631599 A JP36631599 A JP 36631599A JP 2000286257 A JP2000286257 A JP 2000286257A
Authority
JP
Japan
Prior art keywords
dielectric constant
insulating layer
low dielectric
silicon oxide
oxide insulating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11366315A
Other languages
English (en)
Inventor
Zhihai Wang
ジハイ・ワン
Wilbur G Catabay
ウィルバー・ジー・キャタベイ
Joe W Zhao
ジョー・ダブリュー・ザオー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LSI Corp
Original Assignee
LSI Logic Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LSI Logic Corp filed Critical LSI Logic Corp
Publication of JP2000286257A publication Critical patent/JP2000286257A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 【課題】 集積回路構造の低比誘電率有機酸化ケイ素
絶縁層の損傷した表面を処理して、水分の吸収を阻止す
る。 【解決手段】 低比誘電率有機酸化ケイ素絶縁層10
と、保護キャッピング層30と、レジストマスクを通し
て低比誘電率有機酸化ケイ素絶縁層10中にエッチされ
た開口部12とを有する半導体基板2における、低比誘
電率有機酸化ケイ素絶縁層10の上述の損傷した表面1
6を、水素プラズマを用いて処理することを含むプロセ
ス。水素プラズマを用いた処理は、低比誘電率有機酸化
ケイ素絶縁層10の損傷した表面16中のダングリング
ボンドを有するシリコン原子と水素とを結合させて、損
傷した表面16においてそのようなシリコン原子から切
断された有機材料と置き換え、それによって、低比誘電
率有機酸化ケイ素絶縁層10の損傷した表面16中の、
そのようなシリコンダングリングボンドと水分との結合
による水分の吸収を阻止する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体基板上に構
成された集積回路構造に関する。より詳細には、本発明
は、低比誘電率有機酸化ケイ素(organo silicon oxid
e)絶縁材料中の損傷した表面を処理することで、その
ような損傷した低比誘電率絶縁材料による吸湿を阻止す
るプロセスに関する。
【0002】
【従来の技術】集積回路構造の構成においては、酸化ケ
イ素(SiO2)のような誘電体材料を従来使用して、
集積回路構造の導電性要素同士を互いから電気的に分離
及びアイソレーションすなわち絶縁してきた。しかしな
がら、集積回路構造におけるそのような導電性要素間の
間隔がますます小さくなるにつれて、酸化ケイ素誘電体
を介したそのような導電性要素間のキャパシタンスが、
ますます大きな関心事となっている。そのようなキャパ
シタンスは、多くの点で集積回路構造の全体の性能にマ
イナスの影響を及ぼし、これには例えば回路の速度に及
ぼす影響及び隣接する導電性要素間の交さ結合(クロス
トーク)に及ぼす影響が挙げられる。
【0003】酸化ケイ素絶縁体によって分離された隣接
する導電性要間のキャパシタンスのこの絶えず増大して
いる問題が理由となって、集積回路構造のスケールが縮
小し続けるにつれて、従来の酸化ケイ素(SiO2)よ
りも低比誘電率を有する他の絶縁材料の使用が提案され
てきた。1つのそのような材料は、メチル酸化ケイ素
(methyl silicon oxide)材料であり、この材料ではシ
リコン原子に結合した酸素原子の少なくとも一部を、メ
チル(CH3−)基で置き換えている。そのようなメチ
ル酸化ケイ素誘電体材料は比誘電率約2.9を有し、従
って、従来の酸化ケイ素(SiO2)絶縁材料に代わる
低比誘電率の代替物として非常に興味深い。
【0004】シリコン原子に結合した酸素原子の幾つか
を1つ以上のメチル基で置換することは、有機酸化ケイ
素材料の比誘電率を低下させる際に有益な効果を有し、
従ってそのような誘電体材料によって分離される導電性
要素間のキャパシタンスを低下させるが、シリコン原子
とメチル基との間に形成される結合は、従来の酸化ケイ
素(SiO2)材料中に見られるシリコン−酸素結合と
同程度に安定ではないことが見い出された。
【0005】特に、例えばそのような低比誘電率メチル
酸化ケイ素絶縁層の表面を酸化または「アッシング」シ
ステムにさらした時に(低比誘電率メチル酸化ケイ素絶
縁層中に開口部を形成した後、この絶縁層からフォトレ
ジストマスクを除去するために使用される)、そのよう
なアッシング処理にさらされた低比誘電率メチル酸化ケ
イ素絶縁層の表面に隣接するメチル基とシリコン原子と
の間の結合への損傷(切断)を、アッシングプロセスが
引き起こすことが見い出された。本明細書において使用
する「開口部」という用語は、金属相互接続の2つの層
間のバイアか、または、基板上の装置と金属相互接続層
との間のコンタクト開口部を説明することを意図してい
る。この炭素−シリコン結合の切断は、その結果とし
て、集積回路構造から除去されつつある有機フォトレジ
スト材料と一緒に、シリコン原子に以前は結合していた
有機材料を除去する結果となる。メチル基が切断されて
おり、かつ、低比誘電率メチル酸化ケイ素絶縁層の損傷
した表面中に残されたシリコン原子は、ダングリングボ
ンドを有し、これは非常に反応性があり、損傷した表面
がもし水分にさらされる時には吸水部位となる。損傷し
た低比誘電率メチル酸化ケイ素絶縁層と、結果として起
きる水分に対するその感受性を、以下の式で示す:
【0006】
【化1】
【0007】損傷した低比誘電率メチル酸化ケイ素絶縁
材料によるこの水分の吸収は、低比誘電率メチル酸化ケ
イ素絶縁層の損傷した表面中の炭素−シリコン結合の切
断によって残されたダングリングシリコンボンドとのヒ
ドロキシル結合形成をもたらす。シリコン−ヒドロキシ
ル結合は安定な結合ではなく、その後熱にさらすこと
で、例えばその後のアニーリングのような処理の最中
に、シリコン−ヒドロキシル結合の切断を生じ得、それ
によって水蒸気形成を引き起こし、これは例えば、バイ
ア/コンタクト開口部またはダマシン(damacene)トレ
ンチへの金属充填材料を用いたその後の充填を妨げ得
る。
【0008】
【発明が解決しようとする課題】従って、炭素−シリコ
ン結合の切断によって低比誘電率メチル酸化ケイ素絶縁
層になされたいかなる損傷も、損傷した表面を水分にさ
らす前に修復し、それによって低比誘電率メチル酸化ケ
イ素絶縁層の損傷した表面中の不安定なシリコン−ヒド
ロキシル結合の形成から守ることができれば、望ましい
と思われる。
【0009】
【課題を解決するための手段】本発明は、集積回路構造
の低比誘電率有機酸化ケイ素絶縁層の損傷した表面を処
理して、水分の吸収を阻止するプロセスを含む。本プロ
セスは、低比誘電率有機酸化ケイ素絶縁層、例えばメチ
ル酸化ケイ素絶縁層のそのような損傷した表面を、水素
プラズマを用いて処理することを含む。水素プラズマを
用いた処理は、低比誘電率メチル酸化ケイ素絶縁層の損
傷した表面中に残され、ダングリングボンドを有するシ
リコン原子と水素とを結合させて、損傷した表面におい
てそのようなシリコン原子から切断されたメチル基と置
き換える。低比誘電率メチル酸化ケイ素絶縁層の損傷し
た表面中の、そのようなシリコンと水分との結合による
水分の吸収をそれによって阻止する。
【0010】
【発明の実施の形態】図1を参照すると、2で示される
半導体基板は、その上に形成された低比誘電率メチル酸
化ケイ素絶縁層10と、絶縁層10上の保護キャッピン
グ層30を覆って形成されたレジストマスク40を通し
て絶縁層10中にエッチされた開口部12とを有する。
低比誘電率メチル酸化ケイ素絶縁層10は、メチル置換
したシランを使用して形成された修正された酸化ケイ素
(SiO2)絶縁層を含む。開口部12は、図示する実
施例においてはコンタクト開口部を含み、これはその後
導電性材料を用いて充填される。そのようなコンタクト
開口部は、絶縁層10とキャッピング層30との上方に
その後形成される金属線路(図示せず)と、フィールド
酸化膜絶縁体8に隣接して基板2中に形成されたMOS
トランジスタの図示するソース/ドレイン領域6のよう
な集積回路装置の一部と、の間に電気接続を提供する。
絶縁層10中に開口部12を形成することで、低比誘電
率絶縁メチル酸化ケイ素層10のエッチされた部分を含
む側壁表面14が露出される結果となる。
【0011】図2は、レジストマスク40を除去するた
めに酸化またはアッシングプロセスを構造に施した後
の、図1の構造を示す。図2で分かるように、低比誘電
率メチル酸化ケイ素絶縁層10の開口部12の露出した
側壁14は、層10中の開口部12の露出した表面とレ
ジストマスク40を除去するために使用した酸化または
アッシング処理との接触から生じる、側壁14の表面に
隣接する損傷した部分16を有することが示される。
【0012】ここで図3を参照すると、層10中の開口
部12の露出した表面側壁14の損傷した部分16は、
処理済みの部分18で置き換えられていることが認めら
れよう。本発明によれば、低比誘電率メチル酸化ケイ素
絶縁層10の損傷した部分16を、水素プラズマを用い
て処理して、プラズマ由来の水素を、ダングリングボン
ドを有するシリコン原子に結合させる、すなわち、レジ
ストマスク40を除去するために使用した酸化/アッシ
ング処理にさらされることによってシリコン原子から剥
ぎ取られた有機基に以前結合していたシリコン原子に結
合させる。水素プラズマ由来の水素はこうして、シリコ
ンに結合することによって失われた有機基と置き換わ
り、それによってこうした露出した表面上の非結合シリ
コンを低減するかまたは無くす。従って、その後構造を
水分にさらしても、層10の露出した表面による水分の
大幅な吸収をもたらさない。というのは以前に切断した
結合を有したシリコン原子の実質的に全てが今では水素
と結合しており、従って水分中のヒドロキシルイオンと
の結合形成のために利用できないからである。
【0013】何らかの理論に束縛されることを望むわけ
ではないが、低比誘電率メチル酸化ケイ素絶縁材料を形
成するための、有機材料を用いた酸化ケイ素絶縁層の最
初の処理は、シリコンに結合している酸素原子の幾つか
の有機基での置き換えを生じるようであり、すなわち、
シリコン原子は、酸素原子の代わりに有機基との結合を
形成するように思われる。次に構造を、有機フォトレジ
ストマスクを除去するためのエッチャントシステム、す
なわち酸化またはアッシングシステムにさらした時に、
シリコン原子と有機基との間の結合の幾つかはまた破断
して、低比誘電率絶縁材料中に形成したコンタクト開口
部の露出した側壁表面中に、ダングリングボンドを有す
る非常に反応性のあるシリコン原子を残すようである。
これはその結果として、その後に水分を吸収しやすい表
面を残し、この表面では、低比誘電率絶縁材料中のコン
タクト開口部の露出した表面に隣接し、破断した結合を
有する非常に反応性のあるシリコン原子と、ヒドロキシ
ルイオンとが結合する。
【0014】水素プラズマを、開口部12の損傷した側
壁表面において形成されるべきシリコン−水素結合のた
めに水素を供給するために使用し、真空(気密)チャン
バの中に水素ガスを流すことによって形成する。真空チ
ャンバを、760トール(周囲圧力)の高さから0.0
1ミリトールの低さの範囲にわたる圧力に維持でき、ガ
スの流れは、所望の圧力並びに処理チャンバのサイズの
両方に従って調節する。例えば体積5リットルのチャン
バ内では、水素ガスの流れは、低圧条件の場合の1sccm
の低さから周囲圧力の運転条件の場合の200sccmの高
さにまで変化させてよい。他のサイズのチャンバの場
合、5リットルのチャンバの場合の流量と同等の流れの
範囲を、こうした範囲から導き出すことができる。特に
より高い運転圧力では、非反応性ガスの例えば、ヘリウ
ム、ネオン、またはアルゴンも水素ガスと共にチャンバ
内に流してよい。チャンバ内で水素プラズマを点火及び
維持できる任意の電源を利用してよい。典型的には5リ
ットルのチャンバの場合、プラズマ発生電源は約30〜
約1000ワットまで変化させてよく、好ましくは約1
00〜約300ワットである。他のチャンバサイズの場
合、プラズマ電力の要件は上述の流量の場合と同様に適
切に増減させ、そのようなチャンバに必要な実際の電力
の範囲は、5リットルのチャンバに関して上記に記載し
た範囲と同等である。0〜約300ボルトのバイアスを
任意でウェーハに印加してよい。しかしながらウェーハ
バイアスの最大量は、プラズマがバイアの側壁と相互作
用できる量を超えてはならない。
【0015】例として、損傷した表面を有する低比誘電
率メチル酸化ケイ素絶縁層を含む半導体基板を5リット
ルのチャンバ内で処理する場合、水素の流れは約5sccm
であり、その間圧力を0.5ミリトールに維持し、また
プラズマに供給される電力は約300ワットである。水
素プラズマ処理は、チャンバサイズに関わらず、通常約
1〜2秒から約10分の範囲にわたる時間実行する。
【0016】低比誘電率メチル酸化ケイ素絶縁層中に形
成された開口部への金属を用いたその後の充填に関する
本発明の実施と有益な結果とをさらに説明するために、
2枚の直径8インチのシリコンウェーハの各々に、少な
くとも8重量%の炭素含有量を有する同じ低比誘電率メ
チル酸化ケイ素絶縁材料の1マイクロメートル(μm)
厚さの層を用いてコーティングできる。30nmの酸化ケ
イ素キャッピング層を、CVDによって、2枚のウェー
ハの各々の低比誘電率メチル酸化ケイ素絶縁層を覆って
施用してよい。次に同一のフォトレジストマスクを、2
枚のウェーハのそれぞれのキャッピング層を覆って施用
し、次いで、シリコンに対し選択的な従来のCF4及び
2プラズマエッチャントシステムを使用して、マスク
を通し、2枚のウェーハの各々のそれぞれの低比誘電率
メチル酸化ケイ素絶縁層の中に及び貫通して、アスペク
ト比約3:1を有する複数の開口部をエッチする。
【0017】次にそれぞれのレジストマスクを、O2
ラズマからなる標準的アッシングプロセスによって両方
のウェーハから除去する。しかしながらこの時点で、一
方のウェーハの低比誘電率メチル酸化ケイ素絶縁層を、
次に、本発明に従って水素プラズマを用いて処理する
が、もう一方のウェーハ(対照としての役割を果たす)
の低比誘電率メチル酸化ケイ素絶縁層はそのように処理
しない。処理対象のウェーハを5リットルの水素プラズ
マ処理チャンバ内に置き、次に約30sccmの水素をチャ
ンバを通して流し、この間約20ミリトールの真空を維
持する。プラズマをチャンバ内で点火し、電力レベルを
約1000ワットに1分間維持する。処理済み低比誘電
率メチル酸化ケイ素絶縁層を有するウェーハを次に水素
プラズマ処理チャンバから取り出し、次いで両方のウェ
ーハに従来のチタン、窒化チタン、及びタングステン堆
積を施して、各ウェーハの低比誘電率メチル酸化ケイ素
絶縁層中に形成された開口部を充填する。充填は、約3
0nmのチタン金属、次に約30nmの窒化チタンのスパッ
タリングと、最後に約300nmのタングステン金属のス
パッタリングによって、両方のウェーハのそれぞれの低
比誘電率メチル酸化ケイ素絶縁層を覆うことによって行
う。続いてそれぞれの低比誘電率メチル酸化ケイ素絶縁
層の最上面のレベルまで、3つの層を下方に平坦化す
る。
【0018】次に両方のウェーハの物理的検査を実行し
て、各開口部がタングステンによって完全に充填されて
いるかを決定できる。本発明に従って水素プラズマを用
いて処理した低比誘電率メチル酸化ケイ素絶縁層中に形
成された開口部の実質的に全てが、充填されていること
が見い出されよう。それに反して、未処理の低比誘電率
メチル酸化ケイ素絶縁層を有する対照ウェーハの検査で
は、開口部の幾つかのみがタングステンによって充填さ
れていることが明らかになると思われ、これによって、
低比誘電率メチル酸化ケイ素絶縁層中の開口部の未処理
の側壁表面は、タングステンを用いた開口部の少なくと
も幾つかの充填を妨げ、これは損傷しかつ未処理の表面
による水分の吸収によるらしいことが示されると思われ
る。
【0019】そのような目視検査を裏付けるために、両
方のウェーハの低比誘電率メチル酸化ケイ素絶縁層中の
各開口部を覆って金属コンタクトを形成できるかもしれ
ない。2ボルトの電位をコンタクトに印加でき、次にコ
ンタクトからウェーハへの電流を測定して比較できよ
う。そのような試験によって、処理済みの低比誘電率メ
チル酸化ケイ素絶縁層中の開口部の実質的に全てがタン
グステンによって充填されており、一方、対照ウェーハ
の未処理の低比誘電率メチル酸化ケイ素絶縁層中の開口
部の全てを下回るものが充填されていることが裏付けら
れると思われる。
【0020】本発明は特に、低比誘電率メチル酸化ケイ
素絶縁層中のバイアまたはコンタクト開口部の表面の処
理に関して説明してきたが、本発明のプロセスは、露出
した表面の機能またはその理由に関わらず、低比誘電率
メチル酸化ケイ素絶縁層のいかなる露出した表面の処理
にも適用されることに注意されたい。例えば、図示した
キャッピング層30のような保護キャッピング層が低比
誘電率メチル酸化ケイ素絶縁層を覆って形成されない場
合(低比誘電率メチル酸化ケイ素絶縁層とレジストマス
クとの間に)、低比誘電率メチル酸化ケイ素絶縁層のこ
の上面もまたレジストマスクの除去最中に損傷されると
思われ、レジストマスクの除去後に本発明のプロセスに
よって処理する必要があると思われる。また、金属相互
接続の層を、よくパターン形成できない銅のような金属
から形成する場合、及び、絶縁層をまず堆積してパター
ン形成した後に、パターン形成したトレンチ内に金属を
堆積する(いわゆるダマシンプロセス)場合に、本発明
の処理が適用されると思われる。絶縁層が低比誘電率メ
チル酸化ケイ素絶縁層を含む場合、その中へのトレンチ
のパターン形成とレジストマスクの除去後でかつ単数ま
たは複数の金属堆積工程の前に、本発明のプロセスを、
低比誘電率メチル酸化ケイ素絶縁層の損傷した表面の処
理に使用するべきである。
【0021】上述の処理に続いて、エッチ残渣及び酸化
銅残渣を、米国特許出願Docket No.B3-4293に説明する
エッチ残渣除去プロセスを使用して除去できる。米国特
許出願Docket No. B3-4293は、PLASMA CLEANING PROCES
S FOR OPENINGS FORMED IN ONE OR MORE LOW DIELECTRI
C CONSTANT INSULATION LAYERS OVER COPPER METALLIZA
TION IN INTEGRATED CIRCUIT STRUCTURESと称し、本発
明者らの2人とさらにもう1人が本出願と同一日に提出
し、本出願の譲受人に譲渡したもので、下にある銅層か
らエッチ残渣と酸化銅とを除去する最中の銅のスパッタ
リングを阻止するように設計されている。
【0022】このようにして、本発明は、レジストマス
クを除去するために使用する酸化またはアッシングプロ
セスのような有機材料を除去するための処理に、低比誘
電率メチル酸化ケイ素絶縁層の表面をさらすことによっ
て引き起こされる、この絶縁層への損傷を修復する処理
プロセスを提供する。上述の酸化またはアッシング処理
の最中のシリコン原子と有機基との間の結合の破断から
生じるらしいこの絶縁層中の反応性シリコンを、水素プ
ラズマを用いて処理することで、水素をそのようなシリ
コン原子と結合させ、従って、上述の損傷した絶縁層に
よるその後の水分の吸収を阻止する。
【図面の簡単な説明】
【図1】半導体基板を覆って形成された低比誘電率メチ
ル酸化ケイ素絶縁層と、低比誘電率メチル酸化ケイ素絶
縁層の上面に接して形成された保護キャッピング層を覆
って形成されたレジストマスクを通して絶縁層中にエッ
チされたコンタクト開口部と、を有する集積回路構造の
部分垂直断面図である。
【図2】レジストマスクを除去した図1の構造の部分垂
直断面図であり、低比誘電率メチル酸化ケイ素絶縁層中
に形成されたコンタクト開口部の露出した側壁表面への
損傷を示す。
【図3】図2の構造の部分垂直断面図であり、本発明に
従って水素プラズマを用いて処理した後の、低比誘電率
メチル酸化ケイ素絶縁層中のコンタクト開口部の側壁表
面を示す。
【図4】本発明のプロセスを示すフローシートである。
【符号の説明】
2 半導体基板 6 ソース/ドレイン領域 8 フィールド酸化膜絶縁体 10 低比誘電率有機酸化ケイ素絶縁層 12 開口部 14 側壁表面 16 損傷した部分 18 処理済みの部分 30 保護キャッピング層 40 レジストマスク
フロントページの続き (72)発明者 ウィルバー・ジー・キャタベイ アメリカ合衆国カリフォルニア州95070, サラトガ,マクドール・ストリート 13199 (72)発明者 ジョー・ダブリュー・ザオー アメリカ合衆国カリフォルニア州95120, サン・ホセ,ヴィア・コルティーナ 1639 Fターム(参考) 5F033 QQ00 QQ37 RR23 WW06 WW07 XX00 5F058 AC03 BA20 BC02 BC04 BF37 BF38 BH16 BJ01 BJ02

Claims (14)

    【特許請求の範囲】
  1. 【請求項1】 集積回路構造の低比誘電率有機酸化ケイ
    素絶縁層を、水分の吸収から保護するプロセスであっ
    て、前記有機酸化ケイ素絶縁層の露出した表面を、水素
    を用いて処理することを含むプロセス。
  2. 【請求項2】 前記低比誘電率有機酸化ケイ素絶縁層の
    前記露出した表面を、水素プラズマを用いて処理するこ
    とをさらに含む、請求項1に記載のプロセス。
  3. 【請求項3】 前記低比誘電率有機酸化ケイ素絶縁層の
    前記露出した表面は、前記水素プラズマを用いて、約1
    秒〜約10分の範囲にわたる時間処理される、請求項2
    に記載のプロセス。
  4. 【請求項4】 低比誘電率有機酸化ケイ素絶縁層が、該
    層中の1つ以上の有機−シリコン結合を切断する処理に
    さらされるプロセスにおいて、前記切断した有機−シリ
    コン結合を有する前記低比誘電率有機シリコン絶縁層の
    露出した表面を、水素プラズマで処理する工程。
  5. 【請求項5】 前記切断した有機−シリコン結合を有す
    る前記低比誘電率有機酸化ケイ素絶縁層を、水素プラズ
    マにさらす前記工程は、前記切断した有機−シリコン結
    合由来のシリコンと前記水素プラズマ由来の水素とを結
    合させて、前記シリコンから切断された有機材料と置き
    換え、それによって、前記低比誘電率有機酸化ケイ素絶
    縁層中の水分の吸収が阻止される、請求項4に記載のプ
    ロセス。
  6. 【請求項6】 前記低比誘電率有機酸化ケイ素絶縁層の
    前記露出した表面は、前記水素プラズマを用いて、約1
    秒〜約10分の範囲にわたる時間処理される、請求項4
    に記載のプロセス。
  7. 【請求項7】 前記低比誘電率有機酸化ケイ素絶縁層の
    前記露出した表面は、前記水素プラズマを用いて処理さ
    れ、その間、5リットルのプラズマチャンバの場合の約
    30ワット〜約600ワットの範囲と同等のプラズマ電
    力を維持する、請求項4に記載のプロセス。
  8. 【請求項8】 前記低比誘電率有機酸化ケイ素絶縁層の
    前記露出した表面は、前記水素プラズマを用いて処理さ
    れ、その間、約1sccm〜約200sccmの水素を5リット
    ルのプラズマチャンバ内に流すのと同等の割合で水素を
    前記チャンバ内に流す、請求項4に記載のプロセス。
  9. 【請求項9】 低比誘電率有機酸化ケイ素絶縁層は、該
    層の1つ以上の表面における1つ以上の有機−シリコン
    結合を切断する処理にさらされることによって損傷し、
    水分を吸収できる前記1つ以上の表面に反応性シリコン
    を残すプロセスにおいて、前記損傷した低比誘電率有機
    シリコン絶縁層を、水素プラズマを用いて処理して、前
    記低比誘電率有機酸化ケイ素絶縁層中の前記反応性シリ
    コンと前記水素プラズマ由来の水素とを反応させて、そ
    れによって、前記低比誘電率有機酸化ケイ素絶縁層によ
    る水分の吸収が阻止される工程。
  10. 【請求項10】 前記損傷した低比誘電率有機酸化ケイ
    素絶縁層は、前記水素プラズマを用いて、約1秒〜約1
    0分の範囲にわたる時間処理されて、前記反応性シリコ
    ンと前記水素プラズマ由来の水素との間に結合を形成す
    る、請求項9に記載のプロセス。
  11. 【請求項11】 前記損傷した低比誘電率有機酸化ケイ
    素絶縁層は、前記水素プラズマを用いて処理され、その
    間、5リットルのプラズマチャンバの場合の約30ワッ
    ト〜約1000ワットの範囲と同等のプラズマ電力を維
    持する、請求項9に記載のプロセス。
  12. 【請求項12】 前記損傷した低比誘電率有機酸化ケイ
    素絶縁層は、前記水素プラズマを用いて処理され、その
    間、5リットルのプラズマチャンバの場合の約100ワ
    ット〜約300ワットの範囲と同等のプラズマ電力を維
    持する、請求項9に記載のプロセス。
  13. 【請求項13】 前記損傷した低比誘電率有機酸化ケイ
    素絶縁層は、前記水素プラズマを用いて処理され、その
    間、水素と不活性ガスとの混合物を前記チャンバ内に流
    す、請求項9に記載のプロセス。
  14. 【請求項14】 前記損傷した低比誘電率有機酸化ケイ
    素絶縁層は、前記水素プラズマを用いて処理され、その
    間、約1sccm〜約200sccmの水素を5リットルのプラ
    ズマチャンバ内に流すのと同等の割合で前記水素ガスを
    前記チャンバ内に流す、請求項13に記載のプロセス。
JP11366315A 1999-03-29 1999-12-24 低比誘電率有機酸化ケイ素絶縁材料の損傷した表面を処理して吸湿を阻止するプロセス Pending JP2000286257A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/281,514 US6028015A (en) 1999-03-29 1999-03-29 Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
US09/281514 1999-03-29

Publications (1)

Publication Number Publication Date
JP2000286257A true JP2000286257A (ja) 2000-10-13

Family

ID=23077624

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11366315A Pending JP2000286257A (ja) 1999-03-29 1999-12-24 低比誘電率有機酸化ケイ素絶縁材料の損傷した表面を処理して吸湿を阻止するプロセス

Country Status (2)

Country Link
US (1) US6028015A (ja)
JP (1) JP2000286257A (ja)

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6251470B1 (en) 1997-10-09 2001-06-26 Micron Technology, Inc. Methods of forming insulating materials, and methods of forming insulating materials around a conductive component
US6858526B2 (en) * 1998-07-14 2005-02-22 Micron Technology, Inc. Methods of forming materials between conductive electrical components, and insulating materials
US6333556B1 (en) 1997-10-09 2001-12-25 Micron Technology, Inc. Insulating materials
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
JP4174862B2 (ja) * 1998-08-04 2008-11-05 ソニー株式会社 薄膜トランジスタの製造方法および半導体装置の製造方法
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
JP3475100B2 (ja) * 1998-11-26 2003-12-08 シャープ株式会社 半導体装置の製造方法
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
US6524974B1 (en) 1999-03-22 2003-02-25 Lsi Logic Corporation Formation of improved low dielectric constant carbon-containing silicon oxide dielectric material by reaction of carbon-containing silane with oxidizing agent in the presence of one or more reaction retardants
US6218317B1 (en) 1999-04-19 2001-04-17 National Semiconductor Corp. Methylated oxide-type dielectric as a replacement for SiO2 hardmasks used in polymeric low K, dual damascene interconnect integration
US6350679B1 (en) 1999-08-03 2002-02-26 Micron Technology, Inc. Methods of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6391795B1 (en) 1999-10-22 2002-05-21 Lsi Logic Corporation Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
US6756674B1 (en) * 1999-10-22 2004-06-29 Lsi Logic Corporation Low dielectric constant silicon oxide-based dielectric layer for integrated circuit structures having improved compatibility with via filler materials, and method of making same
US6423628B1 (en) 1999-10-22 2002-07-23 Lsi Logic Corporation Method of forming integrated circuit structure having low dielectric constant material and having silicon oxynitride caps over closely spaced apart metal lines
US6316354B1 (en) * 1999-10-26 2001-11-13 Lsi Logic Corporation Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer
JP3400770B2 (ja) * 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
JP2001250863A (ja) * 1999-12-27 2001-09-14 Sanyo Electric Co Ltd 半導体装置およびその製造方法
US6365516B1 (en) * 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6420193B1 (en) * 2000-03-17 2002-07-16 Advance Micro Devices, Inc. Repair of film having an SI-O backbone
US6346490B1 (en) 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
US6506678B1 (en) 2000-05-19 2003-01-14 Lsi Logic Corporation Integrated circuit structures having low k porous aluminum oxide dielectric material separating aluminum lines, and method of making same
US6365528B1 (en) 2000-06-07 2002-04-02 Lsi Logic Corporation Low temperature process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric-material characterized by improved resistance to oxidation and good gap-filling capabilities
US6346488B1 (en) * 2000-06-27 2002-02-12 Lsi Logic Corporation Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions
US6492731B1 (en) 2000-06-27 2002-12-10 Lsi Logic Corporation Composite low dielectric constant film for integrated circuit structure
US6350700B1 (en) 2000-06-28 2002-02-26 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6368979B1 (en) 2000-06-28 2002-04-09 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6489242B1 (en) 2000-09-13 2002-12-03 Lsi Logic Corporation Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures
US6391768B1 (en) 2000-10-30 2002-05-21 Lsi Logic Corporation Process for CMP removal of excess trench or via filler metal which inhibits formation of concave regions on oxide surface of integrated circuit structure
US6537923B1 (en) 2000-10-31 2003-03-25 Lsi Logic Corporation Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6423630B1 (en) 2000-10-31 2002-07-23 Lsi Logic Corporation Process for forming low K dielectric material between metal lines
US6420277B1 (en) 2000-11-01 2002-07-16 Lsi Logic Corporation Process for inhibiting crack formation in low dielectric constant dielectric films of integrated circuit structure
US6649219B2 (en) 2001-02-23 2003-11-18 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
US6572925B2 (en) 2001-02-23 2003-06-03 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
US6566242B1 (en) * 2001-03-23 2003-05-20 International Business Machines Corporation Dual damascene copper interconnect to a damascene tungsten wiring level
US6503840B2 (en) 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US6559048B1 (en) 2001-05-30 2003-05-06 Lsi Logic Corporation Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6583026B1 (en) 2001-05-31 2003-06-24 Lsi Logic Corporation Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
US6566171B1 (en) * 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6930056B1 (en) * 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6559033B1 (en) 2001-06-27 2003-05-06 Lsi Logic Corporation Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6673721B1 (en) * 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US6723653B1 (en) 2001-08-17 2004-04-20 Lsi Logic Corporation Process for reducing defects in copper-filled vias and/or trenches formed in porous low-k dielectric material
US6881664B2 (en) * 2001-08-28 2005-04-19 Lsi Logic Corporation Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
US6613665B1 (en) 2001-10-26 2003-09-02 Lsi Logic Corporation Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface
US6528423B1 (en) 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
CN100561687C (zh) * 2001-12-26 2009-11-18 东京毅力科创株式会社 衬底处理方法及半导体装置的制造方法
US20030155657A1 (en) * 2002-02-14 2003-08-21 Nec Electronics Corporation Manufacturing method of semiconductor device
US7101788B2 (en) * 2002-02-28 2006-09-05 Texas Instruments Incorporated Semiconductor devices and methods of manufacturing such semiconductor devices
US6821905B2 (en) * 2002-07-30 2004-11-23 Taiwan Semiconductor Manufacturing Co., Ltd Method for avoiding carbon and nitrogen contamination of a dielectric insulating layer
US6693043B1 (en) 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
US6962869B1 (en) 2002-10-15 2005-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. SiOCH low k surface protection layer formation by CxHy gas plasma treatment
US6867126B1 (en) * 2002-11-07 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method to increase cracking threshold for low-k materials
US6838300B2 (en) * 2003-02-04 2005-01-04 Texas Instruments Incorporated Chemical treatment of low-k dielectric films
US7199048B2 (en) * 2003-07-24 2007-04-03 Novellus Systems, Inc. Method for preventing metalorganic precursor penetration into porous dielectrics
US7250370B2 (en) * 2003-09-19 2007-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties
US20050087517A1 (en) * 2003-10-09 2005-04-28 Andrew Ott Adhesion between carbon doped oxide and etch stop layers
US7799685B2 (en) * 2003-10-13 2010-09-21 Mattson Technology, Inc. System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
US7067441B2 (en) * 2003-11-06 2006-06-27 Texas Instruments Incorporated Damage-free resist removal process for ultra-low-k processing
US7361605B2 (en) * 2004-01-20 2008-04-22 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
US20070186953A1 (en) * 2004-07-12 2007-08-16 Savas Stephen E Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing
JP2006303422A (ja) * 2005-03-22 2006-11-02 Sony Corp プラズマ処理方法および半導体装置の製造方法
CN100459064C (zh) * 2005-12-12 2009-02-04 中芯国际集成电路制造(上海)有限公司 改进低介电常数层的粘附强度的方法
JP4716370B2 (ja) * 2006-03-27 2011-07-06 東京エレクトロン株式会社 低誘電率膜のダメージ修復方法及び半導体製造装置
US7790047B2 (en) * 2006-04-25 2010-09-07 Applied Materials, Inc. Method for removing masking materials with reduced low-k dielectric material damage
US20080124815A1 (en) * 2006-11-03 2008-05-29 International Business Machines Corporation Method for post cap ild/imd repair with uv irradiation
US9431237B2 (en) * 2009-04-20 2016-08-30 Applied Materials, Inc. Post treatment methods for oxide layers on semiconductor devices
CN103474342A (zh) * 2012-06-06 2013-12-25 中芯国际集成电路制造(上海)有限公司 修复介质层损伤的方法
JP6579953B2 (ja) 2012-07-16 2019-09-25 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 純還元性プラズマ中で高アスペクト比のフォトレジストを除去する方法
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
WO2014158462A1 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc. Layer-by-layer deposition of carbon-doped oxide films through cyclical silylation
US9508545B2 (en) 2015-02-09 2016-11-29 Applied Materials, Inc. Selectively lateral growth of silicon oxide thin film
US9887160B2 (en) * 2015-09-24 2018-02-06 International Business Machines Corporation Multiple pre-clean processes for interconnect fabrication
US10354883B2 (en) 2017-10-03 2019-07-16 Mattson Technology, Inc. Surface treatment of silicon or silicon germanium surfaces using organic radicals
CN112219266B (zh) 2018-04-13 2024-06-25 玛特森技术公司 以使用烷基卤化物生成的反应性核素处理工件
WO2019240930A1 (en) 2018-06-11 2019-12-19 Mattson Technology, Inc. Generation of hydrogen reactive species for processing of workpieces
CN112313777A (zh) 2018-10-15 2021-02-02 玛特森技术公司 用于选择性亲水表面处理的臭氧
US11260420B2 (en) 2018-10-17 2022-03-01 Portland State University Nanowires with magnetic coatings and methods for making and using
US10950416B2 (en) 2018-11-16 2021-03-16 Mattson Technology, Inc. Chamber seasoning to improve etch uniformity by reducing chemistry
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
JP7311628B2 (ja) 2019-04-30 2023-07-19 マトソン テクノロジー インコーポレイテッド メチル化処理を使用した選択的な堆積
US20230178361A1 (en) * 2021-12-07 2023-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Devices and Methods of Manufacture

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2461359A1 (fr) * 1979-07-06 1981-01-30 Commissariat Energie Atomique Procede et appareil d'hydrogenation de dispositifs a semi-conducteurs
US5250444A (en) * 1992-02-21 1993-10-05 North American Philips Corporation Rapid plasma hydrogenation process for polysilicon MOSFETs
JP2738315B2 (ja) * 1994-11-22 1998-04-08 日本電気株式会社 薄膜トランジスタおよびその製造方法
JP3865145B2 (ja) * 1996-01-26 2007-01-10 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5660682A (en) * 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5744202A (en) * 1996-09-30 1998-04-28 Xerox Corporation Enhancement of hydrogenation of materials encapsulated by an oxide

Also Published As

Publication number Publication date
US6028015A (en) 2000-02-22

Similar Documents

Publication Publication Date Title
JP2000286257A (ja) 低比誘電率有機酸化ケイ素絶縁材料の損傷した表面を処理して吸湿を阻止するプロセス
US6204192B1 (en) Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6114259A (en) Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
EP1521302B1 (en) Method for formation of airgaps around an interconnect
US7750479B2 (en) Treatment of plasma damaged layer for critical dimension retention, pore sealing and repair
US6346490B1 (en) Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
JP3248492B2 (ja) 半導体装置及びその製造方法
US6821884B2 (en) Method of fabricating a semiconductor device
JP2003504693A (ja) フォーミングガスプラズマを用いたフォトレジスト除去プロセス
US5658425A (en) Method of etching contact openings with reduced removal rate of underlying electrically conductive titanium silicide layer
US6316354B1 (en) Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer
KR100339677B1 (ko) 반도체장치의제조방법및반도체장치
JP3015752B2 (ja) 半導体装置の製造方法
US20030207594A1 (en) Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for intergrated circuit structure
US20040127002A1 (en) Method of forming metal line in semiconductor device
JP2003273212A (ja) 積層構造体およびその製造方法
US6645864B1 (en) Physical vapor deposition of an amorphous silicon liner to eliminate resist poisoning
US6559048B1 (en) Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
JP3125745B2 (ja) 半導体装置の製造方法
JP2000269330A (ja) 半導体装置の製造方法
JP2004193621A (ja) 金属バリア接着性が改良された、シリコン−炭素−酸素誘電体を有する半導体デバイス、及びその形成方法
JP2001110792A (ja) 絶縁層をエッチングして半導体素子を形成する方法
JP2005142433A (ja) 半導体装置の製造方法
JP3592642B2 (ja) 半導体装置の製造方法
JPH11330239A (ja) 半導体装置及び半導体装置の製造方法

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20021018