US20090023283A1 - Interconnection process - Google Patents

Interconnection process Download PDF

Info

Publication number
US20090023283A1
US20090023283A1 US11/778,844 US77884407A US2009023283A1 US 20090023283 A1 US20090023283 A1 US 20090023283A1 US 77884407 A US77884407 A US 77884407A US 2009023283 A1 US2009023283 A1 US 2009023283A1
Authority
US
United States
Prior art keywords
layer
hard mask
dielectric
opening
mask layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/778,844
Inventor
Hong Ma
Shi-Jie Bai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US11/778,844 priority Critical patent/US20090023283A1/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BAI, Shi-jie, MA, HONG
Priority to US12/179,838 priority patent/US7704870B2/en
Publication of US20090023283A1 publication Critical patent/US20090023283A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Definitions

  • the present invention relates to a semiconductor manufacturing process. More particularly, the present invention relates to an interconnection process.
  • VLSI very-large scale integrated
  • a damascene technique is often employed.
  • openings are formed in a dielectric layer in most cases, and metal is then filled in the openings to form an interconnect.
  • copper conductive wires are usually fabricated by implementing the damascene process instead of the conventional etching process.
  • a metal hard mask layer made of titanium nitride (TiN) is formed on a dielectric layer and a dielectric hard mask layer is formed on the metal hard mask layer before performing an etching process to form openings.
  • a first etching process is carried out in a first reaction chamber to pattern the dielectric hard mask layer.
  • a second etching process is implemented with use of the patterned dielectric hard mask layer as a mask in a second reaction chamber to pattern the metal hard mask layer.
  • another etching process is performed with use of the patterned dielectric hard mask layer and the patterned metal hard mask layer as a mask to form the opening in the dielectric layer.
  • a SiON layer may be formed on the metal hard mask layer, and the SiON layer and the metal hard mask layer are respectively etched with use of a CF 4 -containing etching gas and a Cl 2 -containing etching gas in one reaction chamber, so as to form a patterned SiON mask layer and a patterned metal hard mask layer. Thereafter, another etching process is performed with use of the patterned SiON mask layer and the patterned metal hard mask layer as a mask to form the opening in the dielectric layer.
  • CF 4 -containing etching gas and the Cl 2 -containing etching gas are utilized to respectively etch the SiON layer and the metal hard mask layer in the reaction chamber, a fluorinated polymer and a chlorinated polymer may be simultaneously generated in the reaction chamber, impairing the particle performance during the etching process and adversely affecting the subsequent manufacturing process.
  • the present invention is directed to an interconnection process capable of improving the particle performance during an etching process.
  • the present invention is further directed to an interconnection process able to simplify a manufacturing process.
  • the present invention is further directed to an interconnection process capable of improving the particle performance in a reaction chamber and simplifying a manufacturing process.
  • the present invention provides an interconnection process.
  • the interconnection process includes firstly providing a substrate having a conductive region formed therein. Then, a dielectric layer is formed on the substrate. Next, a patterned metal hard mask layer having a trench opening is formed on the dielectric layer. After that, a dielectric hard mask layer is formed conformally on the patterned metal hard mask layer and filled in the trench opening. Thereafter, a photoresist pattern is defined to remove a portion of the dielectric hard mask layer and a portion of the dielectric layer in the trench opening to form a first opening in the dielectric layer.
  • a first etching process is performed with use of the patterned metal hard mask layer as a mask to form a trench and a second opening extending downward from the first opening in the dielectric layer.
  • the second opening exposes the conductive region.
  • a conductive layer is formed in the trench and the second opening.
  • the material of the dielectric hard mask layer is, for example, silicon oxide.
  • the material of the patterned metal hard mask layer is, for example, TiN, tantalum nitride (TaN) or a titanium-tungsten alloy.
  • the conductive region is, for example, a conductive wire or an electrode.
  • the material of the dielectric layer is, for example, a dielectric material with low dielectric constant.
  • the material of the conductive layer is, for example, copper or tungsten.
  • a method of forming the first opening includes, for example, firstly forming a patterned photoresist layer on the dielectric hard mask layer. Then, a second etching process is performed with use of the patterned photoresist layer as a mask to remove a portion of the dielectric hard mask layer and a portion of the dielectric layer. Finally, the patterned photoresist layer is removed.
  • a cap layer may be further formed on the substrate before the step of forming the dielectric layer.
  • a polishing stop layer or a glue layer may be further formed on the dielectric layer after the dielectric layer is formed and before the patterned metal hard mask layer is formed.
  • a method of forming the conductive layer includes, for example, forming a conductive material layer over the substrate. Thereafter, a planarization process is performed to remove the conductive material layer outside the trench and the second opening.
  • the present invention further provides an interconnection process.
  • the interconnection process includes firstly providing a substrate having a conductive region formed therein. Then, a dielectric layer is formed on the substrate. Next, a patterned metal hard mask layer having a trench opening is formed on the dielectric layer. After that, a dielectric hard mask layer is formed conformally on the patterned metal hard mask layer and filled in the trench opening. Next, a photoresist layer is defined to remove a portion of the dielectric hard mask layer and a portion of the dielectric layer in the trench opening to form an opening. The opening exposes the conductive region. Thereafter, a passivation layer is formed in the opening. The photoresist layer is removed.
  • a first etching process is performed with use of the patterned metal hard mask layer as a mask to form a trench in the dielectric layer. Afterwards, the passivation layer is removed. Ultimately, a conductive layer is formed in the trench and the opening.
  • a method of forming the opening includes, for example, firstly forming a patterned photoresist layer on the dielectric hard mask layer. Then, a second etching process is performed with use of the patterned photoresist layer as a mask to remove a portion of the dielectric hard mask layer and a portion of the dielectric layer until the conductive region is exposed. Finally, the patterned photoresist layer is removed.
  • a method of forming the passivation layer includes, for example, forming a passivation material layer over the substrate. After that, an etching back process is performed to remove the passivation material layer outside the opening.
  • a method of forming the conductive layer includes, for example, forming a conductive material layer over the substrate. Thereafter, a planarization process is performed to remove the conductive material layer outside the trench and the opening.
  • the metal hard mask layer formed on the dielectric layer is patterned. Thereafter, the dielectric hard mask layer is conformally formed on the patterned metal hard mask layer, and a photolithography process and an etching process are directly implemented to form the opening.
  • a photolithography process and an etching process are directly implemented to form the opening.
  • FIGS. 1A through 1E are cross-sectional schematic views illustrating a dual damascene process according to one embodiment of the present invention.
  • FIGS. 2A through 2D are cross-sectional schematic views illustrating a dual damascene process according to another embodiment of the present invention.
  • FIGS. 3A through 3D are cross-sectional schematic views illustrating a single damascene process according to another embodiment of the present invention.
  • the interconnection process of the present invention will be described through a dual damascene process.
  • FIGS. 1A through 1E are cross-sectional schematic views illustrating a dual damascene process according to one embodiment of the present invention.
  • a substrate 100 having a conductive region 102 formed therein is provided.
  • the substrate 100 is, for example, a silicon substrate.
  • the conductive region 102 may be an electrode or a conductive wire.
  • a cap layer 104 is alternatively formed on the substrate 100 .
  • a dielectric layer 106 is formed over the substrate 100 .
  • the material of the dielectric layer 106 is, for example, a dielectric material with low dielectric constant, and a method of forming the dielectric layer 106 includes performing a chemical vapor deposition (CVD) process, for example.
  • CVD chemical vapor deposition
  • a polishing stop layer or glue layer 108 is selectively formed on the dielectric layer 106 .
  • the material of the polishing stop layer or glue layer 108 is, for example, TEOS.
  • a metal hard mask layer 110 is formed on the polishing stop layer 108 .
  • the material of the metal hard mask layer 110 is, for example, TiN, TaN or a titanium-tungsten alloy, and a method of forming the metal hard mask layer 110 includes implementing the CVD process, for example.
  • the material of the cap layer 104 and the polishing stop layer 108 and the method of forming the same are well known to people skilled in the art, and thus no further description is provided hereinafter.
  • a pattern photoresist layer (not shown) is formed on the metal hard mask layer 110 , and performing an etching process with use of the pattern photoresist layer as a mask to form a patterned metal hard mask layer 110 a having a trench opening.
  • the patterned metal hard mask layer 110 a exposes a region for forming a trench in the subsequent process.
  • a dielectric hard mask layer 112 is formed conformally on the patterned metal hard mask layer 110 a and filled in the trench opening.
  • the material of the dielectric hard mask layer 112 is, for example, silicon oxide, and a method of forming the dielectric hard mask layer 112 includes performing the CVD process, for example.
  • the material of the dielectric hard mask layer 112 is, for example, silicon oxide using a tetraethyl orthosilicate (TEOS) as a gas source.
  • TEOS tetraethyl orthosilicate
  • the material of the dielectric hard mask layer 112 may be silicon carbide (SiC), SiCN or other appropriate dielectric materials.
  • the dielectric hard mask layer 112 is employed to prevent the metal material of the patterned metal hard mask layer 110 a and the etching gas from reacting and generating by-products not apt to volatilize in the subsequent etching process.
  • the by-products include titanium fluoride (TiF 3 ) and so on.
  • a patterned photoresist layer 114 is formed on the dielectric hard mask layer 112 .
  • the patterned photoresist layer 114 exposes a region for forming an opening in the subsequent process.
  • another etching process is performed with use of the patterned photoresist layer 114 as a mask to remove a portion of the dielectric hard mask layer 112 , a portion of the polishing stop layer 108 and a portion of the dielectric layer 106 and to form an opening 116 .
  • the patterned photoresist layer 114 is removed.
  • Another etching process is then carried out with use of the patterned metal hard mask layer 110 a as the mask to form a trench 118 and an opening 120 extending downward from opening 116 in the dielectric layer 106 in the range of the trench opening of the patterned metal hard mask layer.
  • the opening 120 exposes a portion of the cap layer 104 above the conductive region 102 .
  • Both the dielectric hard mask-layer 112 and the dielectric layer 106 are made of the dielectric materials, and thus the dielectric hard mask layer 112 on the patterned metal hard mask layer 110 a is also removed during the etching process.
  • the cap layer 104 exposed by the opening 120 is removed to expose a portion of the conductive region 102 .
  • the metal hard mask layer 110 is patterned before the formation of the dielectric hard mask layer 112 according to the present invention. Afterwards, a photolithography process and an etching process are directly carried out to form the trench 118 and the opening 120 after the formation of the dielectric hard mask layer 112 . Here, the process of patterning the dielectric hard mask layer 112 is omitted.
  • oxynitride is replaced by oxide, it is not required to use F-containing and Cl-containing gases for oxynitride to pattern the dielectric hard mask layer and the metal hard mask layer, such that a variety of particles can be prevented from generating in a reaction chamber, improving the particle performance during the etching process.
  • a conductive material layer (not shown) is formed over the substrate 100 to fill the trench 118 and the opening 120 .
  • the material of the conductive material layer is, for example, copper or tungsten.
  • a planarization process is performed by implementing a chemical mechanical polishing (CMP) step to remove the conductive material layer outside the trench 118 and the opening 120 to the surface of the polishing stop layer 108 , so as to form a conductive layer 122 in the trench 118 and the opening 120 .
  • CMP chemical mechanical polishing
  • FIGS. 2A through 2D are cross-sectional schematic views illustrating a dual damascene process according to another embodiment of the present invention.
  • FIG. 2A depicts a process performed after the process illustrated in FIG. 1B .
  • a patterned photoresist layer 114 is formed on the dielectric hard mask layer 112 .
  • the patterned photoresist layer 114 exposes a region for forming an opening in the subsequent process.
  • etching process is performed with use of the patterned photoresist layer 114 as a mask to remove a portion of the dielectric hard mask layer 112 , a portion of the polishing stop layer 108 and a portion of the dielectric layer 106 until a portion of the cap layer 104 on the conductive region 102 is exposed, such that an opening 124 is formed.
  • the patterned photoresist layer 114 is removed.
  • a passivation material layer (not shown) is then formed over the substrate 100 to fill the opening 124 .
  • an etching back process is implemented to form a passivation layer 126 .
  • an etching rate of the passivation layer 126 is less than or equal to that of the dielectric layer 106 .
  • etching process is performed with use of the patterned metal hard mask 110 a layer as the mask to form a trench 128 in the dielectric layer 106 .
  • the etching rate of the passivation layer 126 is less than or equal to that of the dielectric layer 106 . Therefore, as a portion of the dielectric layer 106 is removed to form the trench 128 , the passivation layer 126 remains in the opening 124 to prevent the cap layer 104 at a bottom of the opening 124 is etched.
  • the passivation layer 126 is removed.
  • a conductive layer 122 is then constructed in the trench 128 and the opening 124 , such that the dual damascene process is completed.
  • interconnection process of the present invention can be applied not only to said dual damascene process but also to a single damascene process.
  • FIGS. 3A through 3D are cross-sectional schematic illustrating a single damascene process according to another embodiment of the present invention.
  • a substrate 300 having a conductive region 302 formed therein is provided.
  • the substrate 300 is, for example, a silicon substrate.
  • the conductive region 302 may be an electrode or a conductive wire.
  • a cap layer 304 is alternatively formed on the substrate 300 .
  • a dielectric layer 306 is formed over the substrate 300 .
  • the material of the dielectric layer 306 is, for example, a dielectric material with low dielectric constant, and a method of forming the dielectric layer 306 includes performing a CVD process, for example.
  • a polishing stop layer 308 is selectively formed on the dielectric layer 306 .
  • a metal hard mask layer 310 is formed on the polishing stop layer 308 .
  • the material of the metal hard mask layer 310 is, for example, TiN, TaN or a titanium-tungsten alloy, and a method of forming the metal hard mask layer 310 includes implementing the CVD process, for example.
  • the material of the cap layer 304 and the polishing stop layer 308 and the method of forming the same are well known to people skilled in the art, and thus no further description is provided hereinafter.
  • the metal hard mask layer 310 is patterned to form a patterned metal hard mask layer 310 a having a trench opening.
  • the patterned metal hard mask layer 310 a exposes a region for forming an opening in a subsequent process.
  • a dielectric hard mask layer 312 is formed conformally over the patterned metal hard mask layer 310 a and filled in the trench opening.
  • the material of the dielectric hard mask layer 312 is, for example, silicon oxide, and a method of forming the dielectric hard mask layer 312 includes performing the CVD process, for example.
  • the material of the dielectric hard mask layer 312 is, for example, silicon oxide using TEOS as a gas source.
  • it is certain that the material of the dielectric hard mask layer 312 may be silicon carbide (SiC), SiCN or other appropriate dielectric materials.
  • etching process is then carried out with use of the patterned metal hard mask layer 310 a as the mask to form an opening 313 in the dielectric layer 306 .
  • the opening 313 exposes a portion of the cap layer 304 above the conductive region 302 .
  • the cap layer 304 exposed by the opening 312 is removed to expose a portion of the conductive region 302 .
  • a conductive layer 314 is formed in the opening 313 , such that the conductive layer 314 can be electrically connected to the conductive region 302 , and thereby the single damascene process is completed.

Abstract

An interconnection process is described. A substrate having a conductive region formed therein is provided. A dielectric layer is formed on the substrate. A patterned metal hard mask layer having a trench opening is formed on the dielectric layer. A dielectric hard mask layer is formed conformally on the patterned metal hard mask layer and filled in the trench opening. A photoresist pattern is defined to remove a portion of the dielectric hard mask layer and a portion of the dielectric layer to form a first opening in the dielectric layer. The photoresist pattern is removed. A first etching process is performed using the patterned metal hard mask layer as a mask to form a trench and a second opening extending downward from the first opening in the dielectric layer. The second opening exposes the conductive region. A conductive layer is formed in the trench and the second opening.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a semiconductor manufacturing process. More particularly, the present invention relates to an interconnection process.
  • 2. Description of Related Art
  • With the development of semiconductor technology, the sizes of the semiconductor devices have become smaller and smaller. As the integration degree of integrated circuits (IC) is up to a certain level, a die surface is insufficient for forming all interconnects thereon. Hence, multi-level interconnects are adopted in current very-large scale integrated (VLSI) circuits.
  • As regards to the current process of manufacturing a metallic interconnect, a damascene technique is often employed. In a damascene process, openings are formed in a dielectric layer in most cases, and metal is then filled in the openings to form an interconnect. Furthermore, due to the difficulties in etching copper in the current semiconductor production process, copper conductive wires are usually fabricated by implementing the damascene process instead of the conventional etching process.
  • In the normal damascene process, a metal hard mask layer made of titanium nitride (TiN) is formed on a dielectric layer and a dielectric hard mask layer is formed on the metal hard mask layer before performing an etching process to form openings. Next, a first etching process is carried out in a first reaction chamber to pattern the dielectric hard mask layer. Afterwards, a second etching process is implemented with use of the patterned dielectric hard mask layer as a mask in a second reaction chamber to pattern the metal hard mask layer. Thereafter, another etching process is performed with use of the patterned dielectric hard mask layer and the patterned metal hard mask layer as a mask to form the opening in the dielectric layer.
  • Besides, to simplify the etching process in the aforementioned fabrication, a SiON layer may be formed on the metal hard mask layer, and the SiON layer and the metal hard mask layer are respectively etched with use of a CF4-containing etching gas and a Cl2-containing etching gas in one reaction chamber, so as to form a patterned SiON mask layer and a patterned metal hard mask layer. Thereafter, another etching process is performed with use of the patterned SiON mask layer and the patterned metal hard mask layer as a mask to form the opening in the dielectric layer.
  • However, since the CF4-containing etching gas and the Cl2-containing etching gas are utilized to respectively etch the SiON layer and the metal hard mask layer in the reaction chamber, a fluorinated polymer and a chlorinated polymer may be simultaneously generated in the reaction chamber, impairing the particle performance during the etching process and adversely affecting the subsequent manufacturing process.
  • SUMMARY OF THE INVENTION
  • The present invention is directed to an interconnection process capable of improving the particle performance during an etching process.
  • The present invention is further directed to an interconnection process able to simplify a manufacturing process.
  • The present invention is further directed to an interconnection process capable of improving the particle performance in a reaction chamber and simplifying a manufacturing process.
  • The present invention provides an interconnection process. The interconnection process includes firstly providing a substrate having a conductive region formed therein. Then, a dielectric layer is formed on the substrate. Next, a patterned metal hard mask layer having a trench opening is formed on the dielectric layer. After that, a dielectric hard mask layer is formed conformally on the patterned metal hard mask layer and filled in the trench opening. Thereafter, a photoresist pattern is defined to remove a portion of the dielectric hard mask layer and a portion of the dielectric layer in the trench opening to form a first opening in the dielectric layer. Afterwards, a first etching process is performed with use of the patterned metal hard mask layer as a mask to form a trench and a second opening extending downward from the first opening in the dielectric layer. The second opening exposes the conductive region. Ultimately, a conductive layer is formed in the trench and the second opening.
  • According to one embodiment of the present invention, the material of the dielectric hard mask layer is, for example, silicon oxide.
  • According to one embodiment of the present invention, the material of the patterned metal hard mask layer is, for example, TiN, tantalum nitride (TaN) or a titanium-tungsten alloy.
  • According to one embodiment of the present invention, the conductive region is, for example, a conductive wire or an electrode.
  • According to one embodiment of the present invention, the material of the dielectric layer is, for example, a dielectric material with low dielectric constant.
  • According to one embodiment of the present invention, the material of the conductive layer is, for example, copper or tungsten.
  • According to one embodiment of the present invention, a method of forming the first opening includes, for example, firstly forming a patterned photoresist layer on the dielectric hard mask layer. Then, a second etching process is performed with use of the patterned photoresist layer as a mask to remove a portion of the dielectric hard mask layer and a portion of the dielectric layer. Finally, the patterned photoresist layer is removed.
  • According to one embodiment of the present invention, a cap layer may be further formed on the substrate before the step of forming the dielectric layer.
  • According to one embodiment of the present invention, a polishing stop layer or a glue layer may be further formed on the dielectric layer after the dielectric layer is formed and before the patterned metal hard mask layer is formed.
  • According to one embodiment of the present invention, a method of forming the conductive layer includes, for example, forming a conductive material layer over the substrate. Thereafter, a planarization process is performed to remove the conductive material layer outside the trench and the second opening.
  • The present invention further provides an interconnection process. The interconnection process includes firstly providing a substrate having a conductive region formed therein. Then, a dielectric layer is formed on the substrate. Next, a patterned metal hard mask layer having a trench opening is formed on the dielectric layer. After that, a dielectric hard mask layer is formed conformally on the patterned metal hard mask layer and filled in the trench opening. Next, a photoresist layer is defined to remove a portion of the dielectric hard mask layer and a portion of the dielectric layer in the trench opening to form an opening. The opening exposes the conductive region. Thereafter, a passivation layer is formed in the opening. The photoresist layer is removed. Then, a first etching process is performed with use of the patterned metal hard mask layer as a mask to form a trench in the dielectric layer. Afterwards, the passivation layer is removed. Ultimately, a conductive layer is formed in the trench and the opening.
  • According to one embodiment of the present invention, a method of forming the opening includes, for example, firstly forming a patterned photoresist layer on the dielectric hard mask layer. Then, a second etching process is performed with use of the patterned photoresist layer as a mask to remove a portion of the dielectric hard mask layer and a portion of the dielectric layer until the conductive region is exposed. Finally, the patterned photoresist layer is removed.
  • According to one embodiment of the present invention, a method of forming the passivation layer includes, for example, forming a passivation material layer over the substrate. After that, an etching back process is performed to remove the passivation material layer outside the opening.
  • According to one embodiment of the present invention, a method of forming the conductive layer includes, for example, forming a conductive material layer over the substrate. Thereafter, a planarization process is performed to remove the conductive material layer outside the trench and the opening.
  • According to the present invention, before the formation of the opening, the metal hard mask layer formed on the dielectric layer is patterned. Thereafter, the dielectric hard mask layer is conformally formed on the patterned metal hard mask layer, and a photolithography process and an etching process are directly implemented to form the opening. Through omitting the process of patterning the dielectric hard mask layer and because oxynitride is replaced by the dielectric hard mask layer, the reaction that the floride-containing etching gases for oxinitride/patterned metal hard mask layer react with metal can be prevented, and a variety of particles in the etching chamber are prevented from generating, improving the particle performance during the etching process.
  • In order to the make the aforementioned and other objects, features and advantages of the present invention comprehensible, several embodiments accompanied with figures are described in detail below.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A through 1E are cross-sectional schematic views illustrating a dual damascene process according to one embodiment of the present invention.
  • FIGS. 2A through 2D are cross-sectional schematic views illustrating a dual damascene process according to another embodiment of the present invention.
  • FIGS. 3A through 3D are cross-sectional schematic views illustrating a single damascene process according to another embodiment of the present invention.
  • DESCRIPTION OF EMBODIMENTS
  • The interconnection process of the present invention will be described through a dual damascene process.
  • FIGS. 1A through 1E are cross-sectional schematic views illustrating a dual damascene process according to one embodiment of the present invention. First, referring to FIG. 1A, a substrate 100 having a conductive region 102 formed therein is provided. The substrate 100 is, for example, a silicon substrate. The conductive region 102 may be an electrode or a conductive wire. Next, a cap layer 104 is alternatively formed on the substrate 100. Then, a dielectric layer 106 is formed over the substrate 100. The material of the dielectric layer 106 is, for example, a dielectric material with low dielectric constant, and a method of forming the dielectric layer 106 includes performing a chemical vapor deposition (CVD) process, for example. Thereafter, a polishing stop layer or glue layer 108 is selectively formed on the dielectric layer 106. The material of the polishing stop layer or glue layer 108 is, for example, TEOS. After that, a metal hard mask layer 110 is formed on the polishing stop layer 108. The material of the metal hard mask layer 110 is, for example, TiN, TaN or a titanium-tungsten alloy, and a method of forming the metal hard mask layer 110 includes implementing the CVD process, for example. In addition, the material of the cap layer 104 and the polishing stop layer 108 and the method of forming the same are well known to people skilled in the art, and thus no further description is provided hereinafter.
  • Afterwards, referring to FIG. 1B, a pattern photoresist layer (not shown) is formed on the metal hard mask layer 110, and performing an etching process with use of the pattern photoresist layer as a mask to form a patterned metal hard mask layer 110 a having a trench opening. The patterned metal hard mask layer 110 a exposes a region for forming a trench in the subsequent process. After that, a dielectric hard mask layer 112 is formed conformally on the patterned metal hard mask layer 110 a and filled in the trench opening. The material of the dielectric hard mask layer 112 is, for example, silicon oxide, and a method of forming the dielectric hard mask layer 112 includes performing the CVD process, for example. In the present embodiment, the material of the dielectric hard mask layer 112 is, for example, silicon oxide using a tetraethyl orthosilicate (TEOS) as a gas source. However, in other embodiments, it is certain that the material of the dielectric hard mask layer 112 may be silicon carbide (SiC), SiCN or other appropriate dielectric materials. The dielectric hard mask layer 112 is employed to prevent the metal material of the patterned metal hard mask layer 110 a and the etching gas from reacting and generating by-products not apt to volatilize in the subsequent etching process. The by-products include titanium fluoride (TiF3) and so on.
  • Thereafter, as shown in FIG. 1C, a patterned photoresist layer 114 is formed on the dielectric hard mask layer 112. The patterned photoresist layer 114 exposes a region for forming an opening in the subsequent process. Next, another etching process is performed with use of the patterned photoresist layer 114 as a mask to remove a portion of the dielectric hard mask layer 112, a portion of the polishing stop layer 108 and a portion of the dielectric layer 106 and to form an opening 116.
  • After that, referring to FIG. 1D, the patterned photoresist layer 114 is removed. Another etching process is then carried out with use of the patterned metal hard mask layer 110 a as the mask to form a trench 118 and an opening 120 extending downward from opening 116 in the dielectric layer 106 in the range of the trench opening of the patterned metal hard mask layer. Here, the opening 120 exposes a portion of the cap layer 104 above the conductive region 102. Both the dielectric hard mask-layer 112 and the dielectric layer 106 are made of the dielectric materials, and thus the dielectric hard mask layer 112 on the patterned metal hard mask layer 110 a is also removed during the etching process. Next, the cap layer 104 exposed by the opening 120 is removed to expose a portion of the conductive region 102.
  • It should be noted that the metal hard mask layer 110 is patterned before the formation of the dielectric hard mask layer 112 according to the present invention. Afterwards, a photolithography process and an etching process are directly carried out to form the trench 118 and the opening 120 after the formation of the dielectric hard mask layer 112. Here, the process of patterning the dielectric hard mask layer 112 is omitted.
  • Moreover, in the aforesaid process, because oxynitride is replaced by oxide, it is not required to use F-containing and Cl-containing gases for oxynitride to pattern the dielectric hard mask layer and the metal hard mask layer, such that a variety of particles can be prevented from generating in a reaction chamber, improving the particle performance during the etching process.
  • After that, referring to FIG. 1E, a conductive material layer (not shown) is formed over the substrate 100 to fill the trench 118 and the opening 120. The material of the conductive material layer is, for example, copper or tungsten. Thereafter, a planarization process is performed by implementing a chemical mechanical polishing (CMP) step to remove the conductive material layer outside the trench 118 and the opening 120 to the surface of the polishing stop layer 108, so as to form a conductive layer 122 in the trench 118 and the opening 120. Thereby, the conductive layer 122 can be electrically connected to the conductive region 102, and the dual damascene process is then completed.
  • FIGS. 2A through 2D are cross-sectional schematic views illustrating a dual damascene process according to another embodiment of the present invention. First, please refer to FIG. 2A which depicts a process performed after the process illustrated in FIG. 1B. In FIG. 2A, a patterned photoresist layer 114 is formed on the dielectric hard mask layer 112. The patterned photoresist layer 114 exposes a region for forming an opening in the subsequent process. Next, another etching process is performed with use of the patterned photoresist layer 114 as a mask to remove a portion of the dielectric hard mask layer 112, a portion of the polishing stop layer 108 and a portion of the dielectric layer 106 until a portion of the cap layer 104 on the conductive region 102 is exposed, such that an opening 124 is formed.
  • Afterwards, as shown in FIG. 2B, the patterned photoresist layer 114 is removed. A passivation material layer (not shown) is then formed over the substrate 100 to fill the opening 124. Thereafter, an etching back process is implemented to form a passivation layer 126. Here, an etching rate of the passivation layer 126 is less than or equal to that of the dielectric layer 106.
  • Then, referring to FIG. 2C, another etching process is performed with use of the patterned metal hard mask 110 a layer as the mask to form a trench 128 in the dielectric layer 106. It should be noted that the etching rate of the passivation layer 126 is less than or equal to that of the dielectric layer 106. Therefore, as a portion of the dielectric layer 106 is removed to form the trench 128, the passivation layer 126 remains in the opening 124 to prevent the cap layer 104 at a bottom of the opening 124 is etched.
  • Next, referring to FIG. 2D, the passivation layer 126 is removed. A conductive layer 122 is then constructed in the trench 128 and the opening 124, such that the dual damascene process is completed.
  • Note that the interconnection process of the present invention can be applied not only to said dual damascene process but also to a single damascene process.
  • FIGS. 3A through 3D are cross-sectional schematic illustrating a single damascene process according to another embodiment of the present invention. First, referring to FIG. 3A, a substrate 300 having a conductive region 302 formed therein is provided. The substrate 300 is, for example, a silicon substrate. The conductive region 302 may be an electrode or a conductive wire. Next, a cap layer 304 is alternatively formed on the substrate 300. Then, a dielectric layer 306 is formed over the substrate 300. The material of the dielectric layer 306 is, for example, a dielectric material with low dielectric constant, and a method of forming the dielectric layer 306 includes performing a CVD process, for example. Thereafter, a polishing stop layer 308 is selectively formed on the dielectric layer 306. After that, a metal hard mask layer 310 is formed on the polishing stop layer 308. The material of the metal hard mask layer 310 is, for example, TiN, TaN or a titanium-tungsten alloy, and a method of forming the metal hard mask layer 310 includes implementing the CVD process, for example. Likewise, the material of the cap layer 304 and the polishing stop layer 308 and the method of forming the same are well known to people skilled in the art, and thus no further description is provided hereinafter.
  • Next, with reference to FIG. 3B, the metal hard mask layer 310 is patterned to form a patterned metal hard mask layer 310 a having a trench opening. The patterned metal hard mask layer 310 a exposes a region for forming an opening in a subsequent process. After that, a dielectric hard mask layer 312 is formed conformally over the patterned metal hard mask layer 310 a and filled in the trench opening. The material of the dielectric hard mask layer 312 is, for example, silicon oxide, and a method of forming the dielectric hard mask layer 312 includes performing the CVD process, for example. In the present embodiment, the material of the dielectric hard mask layer 312 is, for example, silicon oxide using TEOS as a gas source. However, in other embodiments, it is certain that the material of the dielectric hard mask layer 312 may be silicon carbide (SiC), SiCN or other appropriate dielectric materials.
  • After that, with reference to FIG. 3C, another etching process is then carried out with use of the patterned metal hard mask layer 310 a as the mask to form an opening 313 in the dielectric layer 306. Here, the opening 313 exposes a portion of the cap layer 304 above the conductive region 302. Next, the cap layer 304 exposed by the opening 312 is removed to expose a portion of the conductive region 302.
  • Then, referring to FIG. 3D, a conductive layer 314 is formed in the opening 313, such that the conductive layer 314 can be electrically connected to the conductive region 302, and thereby the single damascene process is completed.
  • It will be apparent to those skilled in the art that various modifications and variations can be made to the structure of the present invention without departing from the scope or spirit of the invention. In view of the foregoing, it is intended that the present invention cover modifications and variations of this invention provided they fall within the scope of the following claims and their equivalents.

Claims (11)

1. An interconnection process, comprising:
providing a substrate having a conductive region;
forming a dielectric layer on the substrate;
forming a patterned metal hard mask layer having a trench opening on the dielectric layer;
conformally forming a dielectric hard mask layer on the patterned metal hard mask layer and filled in the trench opening;
defining a photoresist pattern to remove a portion of the dielectric hard mask layer and a portion of the dielectric layer in the trench opening to form a first opening in the dielectric layer;
removing the photoresist pattern;
performing a first etching process with use of the patterned metal hard mask layer as a mask to form a trench and a second opening extending downward from the first opening in the dielectric layer in the range of the trench opening of the patterned metal hard mask layer, wherein the second opening exposes the conductive region; and
forming a conductive layer in the trench and in the second opening.
2. The interconnection process of claim 1, wherein the material of the dielectric hard mask layer comprises silicon oxide.
3. The interconnection process of claim 1, wherein the material of the patterned metal hard mask layer comprises titanium nitride (TiN), tantalum nitride (TaN) or a titanium-tungsten alloy.
4. The interconnection process of claim 1, wherein the conductive region comprises a conductive wire or an electrode.
5. The interconnection process of claim 1, wherein the material of the dielectric layer comprises the dielectric material with low dielectric constant.
6. The interconnection process of claim 1, wherein the material of the conductive layer comprises copper or tungsten.
7. The interconnection process of claim 1, wherein a method of forming the first opening comprises:
forming a patterned photoresist layer on the dielectric hard mask layer,
performing a second etching process with use of the patterned photoresist layer as a mask to remove a portion of the dielectric hard mask layer and a portion of the dielectric layer; and
removing the patterned photoresist layer.
8. The interconnection process of claim 1, wherein a cap layer is further formed on the substrate before the step of forming the dielectric layer.
9. The interconnection process of claim 1, wherein a polishing stop layer or a glue layer is further formed on the dielectric layer after the dielectric layer is formed and before the patterned metal hard mask layer is formed.
10. The interconnection process of claim 1, wherein a method of forming the conductive layer comprises:
forming a conductive material layer over the substrate; and
performing a planarization process to remove the conductive material layer outside the trench and the second opening.
11-21. (canceled)
US11/778,844 2007-07-17 2007-07-17 Interconnection process Abandoned US20090023283A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/778,844 US20090023283A1 (en) 2007-07-17 2007-07-17 Interconnection process
US12/179,838 US7704870B2 (en) 2007-07-17 2008-07-25 Via-first interconnection process using gap-fill during trench formation

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/778,844 US20090023283A1 (en) 2007-07-17 2007-07-17 Interconnection process

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/179,838 Division US7704870B2 (en) 2007-07-17 2008-07-25 Via-first interconnection process using gap-fill during trench formation

Publications (1)

Publication Number Publication Date
US20090023283A1 true US20090023283A1 (en) 2009-01-22

Family

ID=40265178

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/778,844 Abandoned US20090023283A1 (en) 2007-07-17 2007-07-17 Interconnection process
US12/179,838 Active US7704870B2 (en) 2007-07-17 2008-07-25 Via-first interconnection process using gap-fill during trench formation

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/179,838 Active US7704870B2 (en) 2007-07-17 2008-07-25 Via-first interconnection process using gap-fill during trench formation

Country Status (1)

Country Link
US (2) US20090023283A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8796150B2 (en) 2011-01-24 2014-08-05 International Business Machines Corporation Bilayer trench first hardmask structure and process for reduced defectivity
US9396990B2 (en) * 2013-01-31 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Capping layer for improved deposition selectivity

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6110826A (en) * 1998-06-08 2000-08-29 Industrial Technology Research Institute Dual damascene process using selective W CVD
US6265307B1 (en) * 2000-01-12 2001-07-24 Taiwan Semiconductor Manufacturing, Co., Ltd. Fabrication method for a dual damascene structure
US20030096496A1 (en) * 2001-11-20 2003-05-22 I-Hsiung Huang Method of forming dual damascene structure
US20040219796A1 (en) * 2003-05-01 2004-11-04 Chih-Ning Wu Plasma etching process
US20050110152A1 (en) * 2002-01-10 2005-05-26 United Microelectronics Corp Method for forming openings in low dielectric constant material layer
US20060286794A1 (en) * 2005-06-15 2006-12-21 Chin-Hsiang Lin Stacked structure for forming damascene structure, method of fabricating the stacked structure, and damascene process
US20080020565A1 (en) * 2006-01-13 2008-01-24 Semiconductor Manufacturing International (Shanghai) Corporation Dual Damascene Copper Process Using a Selected Mask
US20080171434A1 (en) * 2007-01-16 2008-07-17 United Microelectronics Corp. Method of fabricating dual damascene structure

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7348281B2 (en) * 2003-09-19 2008-03-25 Brewer Science Inc. Method of filling structures for forming via-first dual damascene interconnects

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6110826A (en) * 1998-06-08 2000-08-29 Industrial Technology Research Institute Dual damascene process using selective W CVD
US6265307B1 (en) * 2000-01-12 2001-07-24 Taiwan Semiconductor Manufacturing, Co., Ltd. Fabrication method for a dual damascene structure
US20030096496A1 (en) * 2001-11-20 2003-05-22 I-Hsiung Huang Method of forming dual damascene structure
US20050110152A1 (en) * 2002-01-10 2005-05-26 United Microelectronics Corp Method for forming openings in low dielectric constant material layer
US20040219796A1 (en) * 2003-05-01 2004-11-04 Chih-Ning Wu Plasma etching process
US20060286794A1 (en) * 2005-06-15 2006-12-21 Chin-Hsiang Lin Stacked structure for forming damascene structure, method of fabricating the stacked structure, and damascene process
US20080020565A1 (en) * 2006-01-13 2008-01-24 Semiconductor Manufacturing International (Shanghai) Corporation Dual Damascene Copper Process Using a Selected Mask
US20080171434A1 (en) * 2007-01-16 2008-07-17 United Microelectronics Corp. Method of fabricating dual damascene structure

Also Published As

Publication number Publication date
US20090023287A1 (en) 2009-01-22
US7704870B2 (en) 2010-04-27

Similar Documents

Publication Publication Date Title
US10043754B2 (en) Semiconductor device having air gap structures and method of fabricating thereof
US11232979B2 (en) Method of forming trenches
US11854962B2 (en) Via structure and methods thereof
US10854507B2 (en) Method of forming trenches
US6696222B2 (en) Dual damascene process using metal hard mask
CN107230660B (en) Method for manufacturing semiconductor device
US10361115B2 (en) Reducing contact resistance in vias for copper interconnects
US6495448B1 (en) Dual damascene process
TW201729386A (en) Interconnection
US20070249165A1 (en) Dual damascene process
US8647991B1 (en) Method for forming dual damascene opening
US10923423B2 (en) Interconnect structure for semiconductor devices
US8735301B2 (en) Method for manufacturing semiconductor integrated circuit
US7704870B2 (en) Via-first interconnection process using gap-fill during trench formation
CN101359619B (en) Interconnecting process
US7276439B2 (en) Method for forming contact hole for dual damascene interconnection in semiconductor device
US6352919B1 (en) Method of fabricating a borderless via
US20230099965A1 (en) Airgap isolation for back-end-of-the-line semiconductor interconnect structure with top via
TW202244995A (en) Method for manufacturing semiconductor device
CN115472556A (en) Method for forming interconnection structure

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MA, HONG;BAI, SHI-JIE;REEL/FRAME:019574/0489

Effective date: 20070701

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION