CN1287441C - 掺杂碳和硅的铜互连 - Google Patents

掺杂碳和硅的铜互连 Download PDF

Info

Publication number
CN1287441C
CN1287441C CN03800584.0A CN03800584A CN1287441C CN 1287441 C CN1287441 C CN 1287441C CN 03800584 A CN03800584 A CN 03800584A CN 1287441 C CN1287441 C CN 1287441C
Authority
CN
China
Prior art keywords
layer
copper
doping carbon
connection
interconnecting component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN03800584.0A
Other languages
English (en)
Other versions
CN1547770A (zh
Inventor
斯蒂芬·钱伯斯
瓦莱丽·迪宾
安德鲁·奥特
克里斯蒂娜·华-里格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN1547770A publication Critical patent/CN1547770A/zh
Application granted granted Critical
Publication of CN1287441C publication Critical patent/CN1287441C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开了一种用于半导体器件中的互连结构以及该互连结构的形成方法。本发明的实施例包括掺杂碳和掺杂硅的互连,其具有避免在互连和钝化层之间形成硅化铜的浓度。一些实施例对于活化能和/或平均无故障时间,提供了意想不到的电迁移可靠性结果。

Description

掺杂碳和硅的铜互连
技术领域
本发明涉及半导体器件领域。更具体地说,本发明提供了一种用于半导体器件中的互连结构的方法、装置、系统和机器可读介质,以及互连结构的形成方法。
背景技术
集成电路不断地被缩减为更小的尺寸。特别是互连(interconnect)在间距和体积方面正被减小以适应更小的尺寸。互连大小的减小增加了互连的电阻和其中的电流密度。由于电迁移,对于互连在从0.25微米工艺技术以来的各代工艺中电流密度的增加呈现出显著的可靠性问题,因此目前保守的设计规则和实践太过于保守,不能足够准确地跟上设计的需要。
电迁移是这样的过程,其中,互连上的流体静应力(例如在一个方向上施加于互连的直流电)由于动量从流动的电子传递到宿主原子导致了电流引起的原子扩散,或者导致了在电路工作时在互连中所建立的电场中的电子扩散。在互连阳极端的金属变薄或从附近的过孔(via)被后移,最后完全分隔开,在电路中造成孔(opening)或空隙(void)。在互连阴极端的金属形成突起和裂缝绝缘体,这导致器件退化,比如互连短路及金属会扩散到衬底中,或者更具体地说是扩散到衬底的电路层和/或电介质层。电迁移可靠性是依据平均无故障时间和活化能度量的。平均无故障时间(Mean Time to Failure,MTF)一般被定义为对于特定长度和宽度的互连在比如250摄氏度的温度下、施加比如1×106安培每平方厘米的恒定电流密度时,在互连电阻增加30%之前的小时数。
活化能是电迁移开始出现的能级。例如,当在互连中使用时,纯铜的活化能比铝合金的要低,但是由于铜相对于铝提供了包括更低的电阻率的内在优势,因此铜和铝都被用来形成互连。更小的电阻率允许更高的电流密度,因此更小的铜互连有可能处理与铝互连相同的电流而具有相同的电迁移可靠性。此外,与铝基互连不同,铜基互连至少三面由作为扩散阻挡(diffusion barrier)的难熔金属层围绕。在电迁移导致铜互连中的空隙之后,电流通过难熔金属层分流绕过空隙,从而即使是横跨互连长度、宽度和厚度的空隙也不会造成开路故障。但是,铜没有解决越来越多的电迁移问题。空隙导致电阻增加,并且随着电阻增加,空隙长大。因此,在互连变得更小和具有互连的金属化层被更加紧密地组合时,与电迁移相关的问题增加了。
附图说明
在附图中,类似的标记可以指示相似的元件:
图1示出了部分具有增强互连的集成电路的横截面视图的实施例;
图2示出了部分半导体器件的横截面视图的实施例,该半导体器件被部分地处理来确定互连构件(interconnect feature);
图3示出了在形成阻挡层和掺碳的铜层之后,图2的衬底的横截面视图的实施例;
图4示出了在形成掺杂碳的铜互连之后,图3的衬底的横截面视图的
实施例;
图5示出了在应用钝化层和硅扩散到掺杂碳的铜互连之后,图4的衬底的横截面视图的实施例;
图6示出了增强互连的实施例的流程图;
图7示出了增强互连的实施例的流程图;
图8示出了增强互连的实施例的流程图。
熟练的技术人员将意识到,为了简洁和清楚而图示说明图中的元件,没有必要按比例绘制。例如,在图中的一些元件的尺寸相对于其他元件被放大了以有助于增进对本发明的实施例的理解。
具体实施方式
以下是对在附图中所描述的本发明示例性实施例的详细说明。该示例性实施例被详细描述以清楚地表达本发明。但是,所提供细节的数量不是意图限制实施例所预期的变换。对于本发明所预期的实施例的变化太多而不能单独地讨论,因此下面详细的说明被设计来使这样的实施例对于本领域普通技术人员是清楚的。
现在参考图1,示出了部分具有增强互连的集成电路的横截面视图的实施例。比如图1示出的许多实施例具有互连,或具有用于创建对于活化能和/或MTF有增强的电迁移可靠性的互连的方法。比如实施例示出的半导体器件可以每次一层地顺序地制造。该顺序可以包括形成或生长硅晶体,将硅切割为晶片,抛光晶片,然后在晶片的衬底160上、电路层140中形成器件;其中器件的形成通过下列工艺完成:可选择地沉积比如氧化硅的薄电介质层,使用类似光刻的工艺对介电材料进行刻蚀,在电路层140中形成触点(contact)150以允许电路层140的电路能被信号介质、互连(例如掺杂碳和掺杂硅的互连——CuXCYSiZ互连120)访问。具体的浓度或分子比X、Y和Z在实施例之间可以变化。在一些实施例中,在CuXCYSiZ互连120中碳掺杂量或浓度可以是在百万分之1到100的范围内,但是其他的实施例可以包括在百万分之1到1000的范围内的碳浓度。此外,在CuXCYSiZ互连120中硅掺杂量或浓度可以是在百万分之300到900的范围内,但是在其他的实施例中,硅浓度可以是从百分之0到8的范围内。在一些实施例中,在形成互连之前,可以在该互连构件(interconnect feature)中或在经过刻蚀的或以其他方式形成的用于互连的孔中形成阻挡层110,以阻止或减弱互连的原子扩散到级内(intra-level)电介质100和/或电路层140中。形成互连后,可以覆盖互连和/或金属化层1的剩余部分形成级间(inter-level)电介质层,比如钝化层130,来使互连免受类似湿气的污染和/或提供互连层间的绝缘。
通过在级内电介质中形成互连构件,然后形成比如阻挡层110的薄导电层和/或级内电介质上的类似钨、铜或铝的导电层,可以形成一个或多个金属化层,比如金属化层1、金属化层2和金属化层3,以形成触点或过孔和/或互连。例如,过孔170可以将金属化层3的互连和金属化层2的互连相耦合。在一些实施例中,过孔170可以包括比如钨的金属,但是在其他实施例中,过孔170可以包括与用于互连的相同的材料,比如CuXCYSiZ。对级内电介质层100进行图案化可以包括光刻和等离子刻蚀。多余的金属或级内电介质层100的孔上的金属突起可以通过对表面进行平坦化除去。在形成钝化层或级间电介质层(Inter-level Dielectric,IDL)130之前对金属化层1进行平坦化、图案化和/或抛光可以形成或确定导电的互连,并且减少光刻和刻蚀的形貌效应(topographical effect)。
此外,封装可以通过金属化层围绕包括有衬底的集成电路,以保护该集成电路并提供在产品中使用的互连。封装可以包括陶瓷和/或高分子来使集成电路免受物理上的损害,并且通过一个或多个互连提供从电路层到外部器件的信号介质。
现在参考图2,示出了部分半导体器件的横截面视图的实施例,该半导体器件被部分地处理来确定比如镶嵌沟槽的互连构件210。在称为“镶嵌(damascene)”的半导体制造方法中,可以在衬底上沉积电介质层,比如氧化硅(SiO2)层200,并且互连构件可以形成在SiO2 200中来创建具有比如过孔或金属线的互连形式的沟槽图案。
SiO2 200可以包括覆盖在硅晶片上的电介质层。在一些实施例中,在SiO2 200被形成在衬底上之前,可以在晶片的衬底上形成氮化硅薄膜或类似刻蚀终止层或钝化层的其他层。SiO2 200可以包括厚度大约为5000埃到3微米的层。在一个实施例中,比如SiO2 200的电介质层可以是使用硅酸四乙脂(TEOS)作为源气体形成的等离子沉积氧化物层。在一些实施例中,可以使用SiH4和比如氧气(O2)或一氧化二氮(N2O)的氧化剂沉积SiO2 200。在另一个实施例中,级内电介质可以形成为磷硅酸盐玻璃(PSG)层、硼掺杂的PSG(BPSG)层、石英玻璃(SOG)层、二氧化硅层、掺氟的氧化硅层、低介电常数(低k)的绝缘体层、旋压(spin-on)电介质材料层等。低k绝缘体可以是具有比SiO2更低介电常数的材料。在一些实施例中,可以形成刻蚀终止层,比如等离子沉积的氮氧化硅。在其他可选的实施例中,刻蚀终止层可以是等离子沉积的氮化硅、碳化硅、氮化硼或其他相似的材料。刻蚀终止层可以使通过刻蚀不只一层电介质来在比如双镶嵌沟槽的互连构件下形成过孔或其他孔变得容易。
现在参考图3,它示出了图2的衬底在形成阻挡层和掺杂碳的铜(CuXCY)层之后的横截面视图的实施例。金属可以被沉积在互连构件或在SiO2层200中图案化的沟槽中。在这个实施例中,氮化钽(TaN)金属阻挡层可以首先被沉积在互连构件中,接下来沉积铜(Cu)晶种层(seedlayer)。然后,可以通过电镀在互连构件中形成掺碳的铜(CuXCY)。在其它实施例中,可以通过无电镀、溅射、气相外延、离子注入和/或其他相似的方法或工艺在互连构件中形成CuXCY
在使用铜的镶嵌图案化来阻止铜扩散进入晶片或衬底的Si或SiO2区域的金属化方案中可以使用阻挡层。铜通过绝缘体的扩散可能导致短路或降低电路层中的晶体管或其他器件中的电子寿命。例如,衬底可以包括具有比如电容和晶体管的器件的电路层。在比如电容的器件中,阻挡层可以阻止在插栓(plug)或触点与下电极层之间的相互扩散。插栓可以由钨(W)或多晶硅(p-Si)形成,下电极层可以由比如铱(Ir)、铂(Pt)、钯(Pd)、铑(Rh)、锇(Os)等过渡金属或Ir、Pt、Pd、Rh、Os的导电性氧化物和/或过渡金属与导电氧化物的组合形成。
在一些实施例中,其中阻挡层TaN 300可以通过溅射形成,TaN 300可以拥有更高原子量的元素来增加侧壁覆盖率(sidewall coverage)。侧壁覆盖率增加可能导致活化能增加和/或电迁移故障减少。在进一步的实施例中,阻挡层的电阻率可以随着金属碳化物(比如TaC或HfC)选择性地变化。
比如TaN 300的阻挡层可以在比如图2的互连构件210的互连构件中形成。在其他实施例中,阻挡层可以包括氮化钛层、氮硅钛层、氮化钨层、氮硅钽层、钽层、钛钨层等。可以使用比如准直溅射、离子溅射或化学气相沉积(CVD)的方法沉积TaN 300。阻挡层厚度的上限取决于沉积技术和所创建的构件的几何形态。例如,当使镶嵌沟槽和过孔的侧面逐渐缩减(taper)时,可以沉积厚得多的阻挡层。在一些实施例中,可以通过原子层沉积(Atomic Layer Deposition,ALD)来沉积TaN 300,厚度在约为10~50埃的范围内。在其他实施例中,可以形成厚度在约为150~300埃的范围内的阻挡层。在进一步的实施例中,可以通过CVD沉积在50~150埃范围内的TiN阻挡层(TiSiN)。
在一些实施例中,可以覆盖阻挡层TaN 300形成晶种层和导电层。晶种层可以基本上包含一种元素,比如铜、镍、锡等。在这个实施例中,晶种层包含铜。在许多实施例中,晶种层可以包含铜和镁或其他合金材料,或包括铟、锡、铬、锌、碳、锆、钯、钛、铁、铌、镁等的合金材料组合。
晶种层可以使用物理气相沉积(PVD)工艺形成,比如使用具有包含铜的溅射靶的等离子增强物理气相沉积(PEVD)。或者晶种层的沉积也可以使用其他的PVD沉积工艺,比如离子化PVD、长距离抛射PVD、准直PVD,化学气相沉积(CVD)工艺,或比如无电镀或电镀的镀敷工艺。晶种层可以被沉积为覆盖TaN 300的连续层,并且被形成在互连构件中。在一个实施例中,晶种层可以被沉积为厚度在大约150~250纳米的范围内。但是,晶种层可以被形成得足够厚以对阻挡层(或SiO2层200)进行彻底的电镀,且足够薄以防止在图2的互连构件210的角边缘上横向堆积过多的晶种层。例如,可以形成厚度大约为2000埃的晶种层。
导电层可以是CuXCY层310,并且可以覆盖晶种层形成。导电层可以具有来填充剩余的互连构件210的足够的厚度,比如大约为600纳米,这可能大概是互连构件2l0深度的1.5倍。在一个实施例中,CuXCY层310可以使用具有电镀液的传统电镀技术,该电镀液包括比如铜、硫酸和氯的电解质以及比如抗抑制剂和抑制剂的有机添加剂。添加剂可以被引入到电镀液中以形成诸如大粒径和/或随机取向的晶粒的微结构。例如,抗抑制剂可以包含电镀液可溶的二硫化物或一硫化物,抑制剂可以包含电镀液可溶的聚醚、聚胺(polyamine)、聚亚胺(polyimine)和聚酰胺。结果,在电镀过程期间比如铜的导电层可以被来自电镀液的碳掺杂。
在一些实施例中,也可以通过抗抑制剂和抑制剂的氧化产物的增强生产使导电层掺杂碳。电镀液中氧化产物的量可以使用总的可氧化碳(totaloxidizable carbon,TOC)来表征。在许多实施例中,由于高浓度的来自有机添加剂的氧化产物可能在沟槽或过孔中产生保形(conformal)沉积物而非倒置(bottom up)沉积物(或上填充(super-fill)沉积物),所以TOC不应该超过500ppm。例如,上填充沉积物可以填充高深宽比(aspectratio)的构件。
电镀液氧化产物可以通过反向电镀(reverse plating)增强。反向电镀可以通过在阴极和阳极之间交替变换电流以增加对有机添加剂的氧化。在一些实施例中,反向电镀可以通过1毫秒到10秒的脉冲频率完成,其中包含0.1到60毫安每平方厘米的正向电流,1到100毫安每平方厘米的反向电流。在进一步的实施例中,反向电镀可以通过多级直流电完成,其中包含0.1到60毫安每平方厘米的初始电流,应用一次或多次1到30毫安每平方厘米的填充电流,30到60毫安每平方厘米的体填充电流。填充电流的应用次数可以部分地取决于使金属镀覆在互连构件的晶种层或阻挡层上的反应的速度。
在许多实施例中,作为阳极和/或阴极的铜电极可以被用作有机添加剂氧化的催化剂。例如,纯铜阳极可以增加有机添加剂的氧化产物。在另一个实施例中,掺杂磷的阳极可以通过在阳极上形成保护膜降低有机添加剂的氧化速率,该保护膜有时也被称为“黑”阳极膜。在进一步的实施例中,包括无电镀、ALD、CVD、PVD或CVD加PVD的组合的其他沉积工艺可以形成导电层。
仍然参考图3,在晶种层上沉积了导电层CuXCY后,可以对晶片进行退火。退火可以将比如碳的成分从导电层扩散到晶种层。成分扩散可能导致整个晶种层和导电层中成分的重新分布以产生CuXCY层310。取决于成分和退火条件,成分的重新分布可以是在整个晶种层和导电层中均匀的,或在晶种层中较高,或者在表面上和晶种层和导电层的界面聚集。在许多实施例中,晶片可以在300到450摄氏度的温度范围中退火20到30分钟。
此外,对导电层进行退火可以提高互连的电迁移可靠性。在可以使用阻挡层和导电层形成导电性互连的实施例中,衬底可以在大约200摄氏度下约退火5分钟。或者,作为一种提高产量的方式,衬底可以在250到400摄氏度的范围内退火1分钟或更多。在氮气或真空中对导电层进行退火可以减少暴露表面的氧化。在一些实施例中,在对晶片进行退火后,导电层——CuXCY层310可以包含一个与诸如电镀CuXCY层一起的无电镀铜晶种层。
现在参考图4,金属化层——CuXCY 310、TaN 300和SiO2 200的组合可以被平坦化以除去过多的CuXCY。在晶片上的许多位置,金属化层可以被平坦化到或进入SiO2 200,以从SiO2 200的上表面除去CuXCY 310。该工艺或一系列类似的工艺的结果可以是一系列基本上被SiO2 200围绕的金属线。
现在参考图5,它示出了图4的衬底在应用钝化层和硅扩散到掺杂碳的铜互连中以后的横截面视图的实施例。在对金属化层——互连和电介质层进行平坦化或抛光之后,在形成比如氮化硅(Si3N4)层510或碳化硅(SiC)层的刻蚀终止层之前,表面可以使用比如氨(NH3)、氢(H2)、氩(Ar)或其他还原剂的等离子体进行清洗,并且在反应室中暴露于硅烷(SiH4)气体。暴露于SiH4可以通过分解反应在互连上沉积Si,这有利于硅(Si)扩散到图3、4的CuXCY 310中,以形成CuXCYSiZ 500,而不形成相应的覆盖在互连(CuXCYSiZ 500)上的硅化铜层。在这个实施例中,将硅扩散到CuXCY 310中可以形成CuXCYSiZ 500——硅掺杂量在百万分之300到900范围内的互连。
在另外可选的实施例中,可以在对互连掺杂碳之前或基本上同时对互连掺杂硅,比如通过离子注入和/或扩散。在进一步的实施例中,互连可以以不致形成覆盖掺杂碳和掺杂硅的铜互连的硅化铜层的浓度和/或分布掺杂硅。
可以覆盖互连形成比如Si3N4层510的钝化层以防止污染。Si3N4层510可以使用比如等离子增强化学气相沉积(PECVD)的气相沉积技术形成。PECVD可以在200到425摄氏度的温度范围内沉积Si3N4层510。在其他实施例中,可以在将互连暴露于硅烷之后形成比如SiO2的化学机械抛光(CMP)终止层。
测试表明形成CuXCYSiZ互连(比如CuXCYSiZ 500)可能对于互连的电迁移可靠性产生意想不到的结果,在一些实施例中,产生超过Cu互连12倍的MTF,CuXCY互连6倍的MTF,硅掺杂的铜(CuXSi)互连3倍半的MTF。此外,测试表明CuXCYSiZ 500的活化能超过了Cu互连、CuXCY互连和CuXSi互连。
在一些实施例中,Si3N4 510可以作为刻蚀终止层。例如,镶嵌工艺的一个局限是刻蚀电介质时如何适当地确定终点,因为电介质既可以作为层内的互连或金属线的级内绝缘体又可以作为金属化层之间的级间绝缘体。因此,当SiO2 200可以被刻蚀时,一些实施例可以使用刻蚀终止层或薄膜,从而在衬底上刻蚀深度可以基本一致。刻蚀阻挡薄膜也可以具有比如氮化硅(Si3N4)层510的绝缘性质。
在一些实施例中,Si3N4层510厚度大约可以为100到2000埃。可以使用化学气相沉积(CVD)在同一个或分开的反应室或处理室中形成硅的氧化物和Si3N4。形成层的次序可以是将衬底插入氮化硅处理室、沉积氮化硅、移除衬底、将衬底插入硅氧化室、形成氧化硅。
在其它实施例中,比如其中SiC可以被用作刻蚀终止层的实施例,可以通过等离子增强化学气相沉积(PECVD)使用(四、三、二等)甲基硅烷、Si(CH3)xH4-X作为前驱体,其中,X在从0到4的范围内,或者在250到450摄氏度的温度范围内通过使用SiH4和甲烷(CH4)沉积SiC。虽然也可以使用其他的温度,但是较低的温度可能产生密度较低的薄膜,较高的温度可能增加损坏电路层中的器件的危险。其他实施例可以包括其他的沉积技术来沉积SiC。
现在参考图6,示出了增强互连的实施例的流程图。该实施例可以包括:600,提供具有互连构件的衬底;610,在互连构件中形成掺杂碳的铜互连;630,在避免在掺杂碳的铜互连上形成硅化铜层的浓度对掺杂碳的铜互连掺杂硅。提供具有互连构件的衬底(600)可以提供诸如具有被设计来提供导电路径的互连构件的晶片的衬底。导电路径或互连在一些实施例可以使访问或使用创建于衬底之中或之上的器件变得容易。提供具有互连构件的衬底(600)可以包含提供具有与掺杂碳的铜互连耦合的电路层的衬底(605)。电路层可以包含一个或多个比如晶体管和电容的器件。比如SiO2的绝缘体层或电介质层形成在电路层的顶部,并且具有确定互连构件的孔。此外,孔或互连构件可以被设计来使形成掺杂碳的铜互连更容易。
在互连构件中形成掺杂碳的铜互连(610)可以形成互连来提供一个或多个电路层中的器件和/或外部器件的触点之间的导电路径。在一些实施例中,在互连构件中形成掺杂碳的铜互连(610)可以包括形成覆盖阻挡层的晶种层;形成覆盖晶种层的和在互连构件中的掺杂碳的铜互连;对晶种层和掺杂碳的铜层进行退火以将碳扩散到晶种层中(615)。晶种层可以覆盖比如SiO2的电介质层,其厚度在1000到3000埃的范围内。在许多实施例中,阻挡层可以覆盖电介质层,晶种层可以覆盖阻挡层。
在许多实施例中,晶种层可以通过应用定向沉积技术而被形成,其中使用金属、金属合金、金属化合物、多层金属堆叠(stack)或任何金属在其中可以成核、生长的衬底。晶种层可以包含金属,例如金属合金;并且可以包含铜、铜合金、镍、银、金和钴。在一些实施例中,晶种层可以使用液体或化学活性或惰性气体进行原位(in-situ)或异位(ex-situ)的物理或化学处理。例如,在形成覆盖晶种层的导电层之前,可以使晶种层表面暴露于比如氩气、氦气、氧气、氢气、氮气或它们的某些组合的气体。在其他实施例中,在对导电层进行电解电镀/无电镀之前,可以使晶种层暴露于液体,例如酸、碱、溶剂和/或去离子水。在进一步的实施例中,晶种层可以在合成气或真空中退火以减少或除去衬底上的污染物。
形成覆盖晶种层的和在互连构件中的掺杂碳的铜互连可以包括在晶片或衬底的互连构件中沉积铜和/或掺杂碳的铜。铜或掺杂碳的铜可以通过形成覆盖晶种层的金属层,并且去除多余的金属被沉积在互连构件中,或者通过比如溅射、电镀、无电镀、物理气相沉积、化学气相沉积以及离子注入的工艺被沉积在互连构件中。例如,覆盖互连构件的晶种层的一部分可以被暴露于电镀液。可以通过被浸入到电镀槽、使用电镀液对晶种层进行喷洒或相似工艺等使晶种层暴露于电镀液。在电镀液中的金属离子之间可以出现氧化还原反应,使金属被镀覆到晶种层上。在铜可能被沉积到互连构件中的晶种层的一部分上后,可以通过离子注入或类似工艺对铜掺杂碳。在一些实施例中,电镀液可以在具有铜的晶种层上沉积碳。
在一些实施例中,在形成掺杂碳的铜互连之后,互连、晶种层和掺杂碳的铜层可以被退火以将碳扩散到晶种层中。退火可以包括在大约300-450摄氏度的温度范围内进行退火(620),和/或在包含惰性气体的气氛中退火(625)。在包含惰性气体的气氛中退火(625)可以包括在含有氮气或氢气的气氛中或者在由氮气和氢气组成的气氛中进行退火。在其他可选的实施例中,取决于比如晶种层和导电层的熔点的因素,可以在200摄氏度附近进行退火。在许多实施例中,退火可以改善掺杂碳的铜互连的晶粒结构,并且有助于碳掺杂在整个互连中的均匀分布。
在避免在掺杂碳的铜互连上形成硅化铜层的浓度对掺杂碳的铜互连掺杂硅(630)可以包括使硅在整个掺杂碳的铜互连中均匀分布。在一些实施例中,硅在整个掺杂碳的铜互连中均匀扩散可以提高互连和上覆层之间的附着力。在其他可选的实施例中,对掺杂碳的铜互连掺杂硅可以包括对与掺杂碳的铜互连表面接近的层掺杂硅来改变表面的粘结性质。
在避免在掺杂碳的铜互连上形成硅化铜层的浓度对掺杂碳的铜互连掺杂硅(630)可以包括使用等离子体对掺杂碳的铜互连进行清洗;在清洗后将掺杂碳的铜互连暴露于硅烷;在使硅扩散到掺杂碳的铜互连中的暴露操作之后在掺杂碳的铜互连上沉积刻蚀终止层(635)。使用等离子体对部分掺杂碳的层进行清洗可以包括使用氨水对掺杂碳的铜的表面以及在一些实施例中对金属化层的表面进行清洗。在其他实施例中,使用等离子体对部分掺杂碳的层进行清洗可以包括使用H2对掺杂碳的铜的表面进行清洗。
在还原气氛中对互连的表面进行清洗以后,将互连暴露于硅烷可以将硅扩散到互连中。沉积刻蚀终止层可以包括形成覆盖触点的钝化层和/或形成氮化硅层、碳化硅层等。例如,包括互连的金属化层可以是多个(超过两个)金属化层中的第二层。第一金属化层和剩余的金属化层可以包含掺杂碳和掺杂硅的互连和/或其他互连。
现在参考图7,示出了用来增强互连的电迁移可靠性的实施例。该实施例可以包括:700,提供具有包括互连构件的电介质层的衬底;720,形成覆盖电介质层的阻挡层;740,形成覆盖阻挡层的掺杂碳的铜层;780,去除部分掺杂碳的铜层;790,在避免在掺杂碳的铜层上形成硅化铜层的浓度对掺杂碳的铜层的剩余部分掺杂硅。提供具有包括互连构件的电介质层的衬底(700)可以提供半导体器件的晶片,其具有如晶体管、闸流晶体管、电阻、电容等一个或多个被创建于电路层中的器件。电介质层可以覆盖电路层以使至少一部分电路层与具有互连的触点绝缘。提供具有包括互连构件的电介质层的衬底(700)可以包括去除电介质层的一部分以形成互连构件(705)。去除电介质层的一部分以形成互连构件(705)可以去除电介质以形成互连形式的孔,并且将电路层的触点暴露以便通过过孔将触点与互连相耦合。
在一些实施例中,去除电介质层的一部分以形成互连构件(705)可以包括刻蚀,刻蚀可以包括干法或湿法化学反应或比如溅射刻蚀的物理去除。例如,在一个实施例中,刻蚀可以包括光刻或使用光刻胶涂覆电介质层的一部分;对光刻胶的部分进行显影以将互连构件刻蚀进电介质层中(710)。在暴露于高强度的光或其没有曝光之后,可以使用显影剂溶掉光刻胶。例如负型光刻胶留在没有被免于曝光的区域,正型光刻胶留在被免于曝光的区域。
在衬底包括有互连构件之后,可以通过沉积金属或其他导电材料到互连构件中形成互连。具有互连构件的电介质层的剩余电介质可以将一个互连与另一个绝缘,并且将互连与电路层中的器件绝缘。
这个实施例可以包括形成覆盖电介质层的阻挡层(720)来防止或减弱剩余的电介质和/或电路层由于互连材料扩散到剩余的电介质和/或电路层中而导致的退化。在一些实施例中,形成覆盖电介质层的阻挡层(720)可以增强互连和周围材料之间的附着力。形成覆盖电介质层的阻挡层(720)可以包括形成与电介质层和互连构件耦合的层,其具有基本均匀的厚度(725),并且形成氮化钽层(730)。形成与电介质层和互连构件耦合的、具有基本均匀的厚度的层(725)可以包括形成在互连构件中覆盖电介质层的、以及在一些实施例中在互连构件外的部分电介质层上的层。阻挡层可以由钽、氮化钽、氮化钛、氮化硅钛、氮化钨、钨-钽、氮化硅钽或其他三元化合物形成。使用比如CVD、PVD、ALD、电镀或无电镀的沉积技术,可以实现厚度均匀的阻挡层。厚度均匀的阻挡层可以促进通过阻挡层和对应的互连的均匀电流分布和流动,而非均匀的互连可能由于在一个区域有较高的电流密度而使该区域的电迁移电阻较低,从而对集成电路的可靠性造成不利的影响。
仍然参考图7,该实施例可以包括形成覆盖阻挡层的掺杂碳的铜层(740)。形成覆盖阻挡层的掺杂碳的铜层(740)可以包括使用包含电解质和有机添加剂的电镀液用铜进行电镀,其中碳从电镀液被掺杂(745),并且通过有机添加剂的氧化产物掺杂碳(750)。基于电镀化学和电镀波形,可以从电镀液对铜掺杂碳。电镀化学可能取决于被用来对衬底进行电镀的电镀液。在这个实施例中,电镀液可以大约包含每升15到60克的铜、每升5到250克的硫酸(H2SO4)、每升20到200毫克氯(Cl),以及百万分之1到100的比如二硫化物的抗抑制剂和百万分之10到500的比如聚醚和聚酰胺的抑制剂。在其他实施例中,电解质可以包含铜、硫酸和氯(770),有机添加剂可以包含抑制剂和抗抑制剂(775)。抑制剂可以包含电镀液可溶的聚醚、聚胺、聚酰胺,抗抑制剂可以包含电镀液可溶的二硫化物或一硫化物。
电镀波形可能取决于电镀时所施加的电场。在这个实施例中,电镀波形可以包含反向电镀来增加氧化产物的产生(755)。在许多实施例中,反向电镀可以增强有机添加剂的氧化产物来对铜掺杂碳。反向电镀可以包含脉冲频率在1毫秒到10秒范围内、正向电流在0.1到60毫安每平方厘米的范围内、反向电流在1到100毫安每平方厘米的范围内的反向电镀(760)。具有铜阳极而不是磷铜阳极的反向电镀也可以增强有机添加剂的氧化。
在其他实施例中,反向电镀可以包含具有多级直流电的反向电镀,该多级直流电包括在0.1到1毫安每平方厘米的范围内的起始电流,在1到30毫安每平方厘米的范围内的填充电流,以及在30到60毫安每平方厘米的范围内的体填充电流(765)。填充电流可以被施加一次或多次。基于所施加电流的频率和大小可以对反应速度进行调节,所以在一些实施例中可以使用其他的频率和电流大小。
去除部分掺杂碳的铜层(780)可以去除互连构件外掺杂碳的铜以基本上确定掺杂碳的铜互连。去除部分掺杂碳的铜层(780)可以通过几种方法完成,比如化学机械抛光(CMP)和/或刻蚀工艺。在这个实施例中,去除部分掺杂碳的铜层(780)可以包括抛光去掉部分掺杂碳的铜层和部分阻挡层(785)。例如,CMP可以抛光去掉或去除多余的铜或掺杂碳的铜和在电介质层上的阻挡层,从而铜或掺杂碳的铜和阻挡层留在了互连构件中。同样,所得到的金属化层在CMP之后具有基本一致的厚度。
在避免在掺杂碳的铜层上形成硅化铜层的浓度对掺杂碳的铜层的剩余部分掺杂硅(790)可以包括通过离子注入和/或扩散对抛光后确定的掺杂碳的铜互连掺杂硅。例如,通过将掺杂碳的铜层的剩余部分放置于反应室中可以将硅扩散到铜中;在反应室中产生等离子体;并将硅烷释放到反应室中以将硅扩散到掺杂碳的铜层的剩余部分(795)。
现在参考图8,示出了实施例的流程图,该实施例包含:810,提供具有包括互连构件的电介质层的衬底;820,在互连构件中形成掺杂碳的铜互连;830,在避免在掺杂碳的铜互连上形成硅化铜层的浓度对掺杂碳的铜互连掺杂硅。提供具有包括互连构件的电介质层的衬底(810)可以包括提供在覆盖电路层的电介质层中具有孔的晶片。这些孔可以确定金属化层互连的预定图案。在许多实施例中,提供具有包括互连构件的电介质层的衬底(810)可以包括通过比如光刻的工艺去除部分电介质层以形成互连。
在互连构件中形成掺杂碳的铜互连(820)可以包括使用包括电解质和有机添加剂的电镀液用铜进行电镀,其中从电镀液中掺杂碳。在其他实施例中,铜可以被沉积在互连构件中,并且铜可以通过离子注入掺杂碳。在一些实施例中,在互连构件中形成掺杂碳的铜互连(820)可以包括在形成阻挡层(例如氮化钽阻挡层)来减少或防止碳或铜的离子扩散到电介质层和/或电路层中之后,形成掺杂碳的互连。
在避免在掺杂碳的铜互连上形成硅化铜层的浓度对掺杂碳的铜互连掺杂硅(830)可以包括在通过抛光和/或刻蚀确定了互连之前和/或之后用于对掺杂碳的铜掺杂硅的指示。在避免在掺杂碳的铜互连上形成硅化铜层的浓度对掺杂碳的铜互连掺杂硅(830)还可以包括对铜进行掺杂和/或分布掺杂在铜的硅,从而不会形成覆盖掺杂碳的和掺杂硅的铜互连的硅化铜层。
其他的实施例还包括在通过离子注入和/或扩散对互连掺杂碳之前或基本上同时对互连掺杂硅。

Claims (20)

1.一种方法,包括:
提供具有互连构件的衬底;
在所述互连构件中形成掺杂碳的铜互连;以及
在避免在掺杂碳的铜互连上形成硅化铜层的浓度对所述掺杂碳的铜互连掺杂硅。
2.如权利要求1的方法,其中,所述提供衬底包括提供具有与所述掺杂碳的铜互连耦合的电路层的衬底。
3.如权利要求1的方法,其中,所述在所述互连构件中形成掺杂碳的铜互连包括:
形成覆盖阻挡层的铜晶种层;
形成覆盖所述晶种层的并且在所述互连构件中的掺杂碳的铜互连;以及
对所述晶种层和所述掺杂碳的铜互连进行退火以将碳扩散到所述晶种层中。
4.如权利要求3的方法,其中,所述退火包括在300到450摄氏度的温度范围内进行退火。
5.如权利要求3的方法,其中,所述退火包括在包含惰性气体的气氛中进行退火。
6.如权利要求1的方法,其中,所述掺杂硅的操作包括:
使用等离子体对所述掺杂碳的铜互连进行清洗;
在清洗后将所述掺杂碳的铜互连暴露于硅烷;以及
在使硅扩散到掺杂碳的铜互连中的所述暴露操作之后,在所述掺杂碳的铜互连上沉积刻蚀终止层。
7.一种方法,包括:
提供具有包括互连构件的电介质层的衬底;
形成覆盖所述电介质层的阻挡层;
形成覆盖所述阻挡层的掺杂碳的铜层;
去除部分掺杂碳的铜层;以及
在避免在掺杂碳的铜层上形成硅化铜层的浓度对所述掺杂碳的铜层的剩余部分掺杂硅。
8.如权利要求7的方法,其中,所述提供具有电介质层的衬底包括去除部分电介质层以形成互连构件。
9.如权利要求8的方法,其中,所述去除部分电介质层以形成互连构件包括:
使用光刻胶涂覆部分电介质层;以及
对部分所述光刻胶进行显影以将所述互连构件刻蚀进所述电介质层。
10.如权利要求7的方法,其中,所述形成覆盖所述电介质层的阻挡层包括形成与所述电介质层和所述互连构件耦合的、并且厚度均匀的层。
11.如权利要求7的方法,其中,所述形成覆盖所述电介质层的阻挡层包括形成氮化钽层。
12.如权利要求7的方法,其中,所述形成覆盖所述阻挡层的掺杂碳的铜层包括使用包含电解质和有机添加剂的电镀液用铜进行电镀,其中碳从电镀液被掺杂。
13.如权利要求12的方法,其中,所述使用电镀液用铜进行电镀包括通过所述有机添加剂的氧化产物掺杂碳。
14.如权利要求13的方法,其中,所述通过所述有机添加剂的氧化产物掺杂碳包括反向电镀以增强氧化产物的产生。
15.如权利要求14的方法,其中,所述反向电镀包括脉冲频率在1毫秒到10秒范围内、正向电流在0.1到60毫安每平方厘米的范围内、反向电流在1到100毫安每平方厘米的范围内的反向电镀。
16.如权利要求14的方法,其中,所述反向电镀包括使用多级直流电的反向电镀,所述多级直流电包括:
在0.1到1毫安每平方厘米的范围内的起始电流;
在1到30毫安每平方厘米的范围内的填充电流;和
在30到60毫安每平方厘米的范围内的体填充电流。
17.如权利要求12的方法,其中,所述电解质包括铜、硫酸和氯。
18.如权利要求12的方法,其中,所述有机添加剂包括抗抑制剂和抑制剂。
19.如权利要求7的方法,其中,所述去除部分掺杂碳的铜层包括抛光去掉部分所述掺杂碳的铜层和部分所述阻挡层。
20.如权利要求7的方法,其中,所述掺杂硅的操作包括:
将所述掺杂碳的铜层的剩余部分放置在反应室中;
在所述反应室中产生等离子体;以及
将硅烷释放到反应室中以将硅扩散到所述掺杂碳的铜层的所述剩余部分中。
CN03800584.0A 2002-01-18 2003-01-07 掺杂碳和硅的铜互连 Expired - Fee Related CN1287441C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/051,971 2002-01-18
US10/051,971 US6518184B1 (en) 2002-01-18 2002-01-18 Enhancement of an interconnect

Publications (2)

Publication Number Publication Date
CN1547770A CN1547770A (zh) 2004-11-17
CN1287441C true CN1287441C (zh) 2006-11-29

Family

ID=21974556

Family Applications (1)

Application Number Title Priority Date Filing Date
CN03800584.0A Expired - Fee Related CN1287441C (zh) 2002-01-18 2003-01-07 掺杂碳和硅的铜互连

Country Status (7)

Country Link
US (2) US6518184B1 (zh)
EP (1) EP1466358B1 (zh)
CN (1) CN1287441C (zh)
AU (1) AU2003207483A1 (zh)
MY (1) MY125844A (zh)
TW (1) TWI263327B (zh)
WO (1) WO2003063209A2 (zh)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US20020089063A1 (en) * 2001-01-08 2002-07-11 Ahn Kie Y. Copper dual damascene interconnect technology
US7687917B2 (en) * 2002-05-08 2010-03-30 Nec Electronics Corporation Single damascene structure semiconductor device having silicon-diffused metal wiring layer
US20040134775A1 (en) * 2002-07-24 2004-07-15 Applied Materials, Inc. Electrochemical processing cell
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US7247222B2 (en) 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
US20040217005A1 (en) * 2002-07-24 2004-11-04 Aron Rosenfeld Method for electroplating bath chemistry control
US6727175B2 (en) 2002-08-02 2004-04-27 Micron Technology, Inc. Method of controlling metal formation processes using ion implantation, and system for performing same
US6841458B2 (en) 2002-09-12 2005-01-11 Intel Corporation Dopant interface formation
JP4152202B2 (ja) * 2003-01-24 2008-09-17 Necエレクトロニクス株式会社 半導体装置の製造方法
US7151315B2 (en) * 2003-06-11 2006-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of a non-metal barrier copper damascene integration
DE10339990B8 (de) * 2003-08-29 2013-01-31 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer Metallleitung mit einer erhöhten Widerstandsfähigkeit gegen Elektromigration entlang einer Grenzfläche einer dielektrischen Barrierenschicht mittels Implantieren von Material in die Metalleitung
US7309651B2 (en) * 2003-10-30 2007-12-18 Texas Instruments Incorporated Method for improving reliability of copper interconnects
US7453128B2 (en) * 2003-11-10 2008-11-18 Panasonic Corporation Semiconductor device and method for fabricating the same
JP2005217162A (ja) * 2004-01-29 2005-08-11 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
US7300860B2 (en) * 2004-03-30 2007-11-27 Intel Corporation Integrated circuit with metal layer having carbon nanotubes and methods of making same
KR100552857B1 (ko) * 2004-10-25 2006-02-22 동부아남반도체 주식회사 반도체 소자의 콘택 형성 방법
US20060094237A1 (en) * 2004-10-29 2006-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Methods to completely eliminate or significantly reduce defects in copper metallization in IC manufacturing
US7915735B2 (en) * 2005-08-05 2011-03-29 Micron Technology, Inc. Selective metal deposition over dielectric layers
KR100651919B1 (ko) * 2005-09-29 2006-12-01 엘지전자 주식회사 녹화 속도 조절 기능을 갖는 이동통신단말기 및 이를이용한 방법
JP2007109736A (ja) * 2005-10-11 2007-04-26 Nec Electronics Corp 半導体装置およびその製造方法
US20070228571A1 (en) * 2006-04-04 2007-10-04 Chen-Hua Yu Interconnect structure having a silicide/germanide cap layer
US7749361B2 (en) * 2006-06-02 2010-07-06 Applied Materials, Inc. Multi-component doping of copper seed layer
US7790631B2 (en) * 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8120114B2 (en) 2006-12-27 2012-02-21 Intel Corporation Transistor having an etch stop layer including a metal compound that is selectively formed over a metal gate
DE102007022621B4 (de) * 2007-05-15 2017-06-01 Advanced Micro Devices Inc. Verfahren zur Herstellung einer dielektrischen Deckschicht für eine Kupfermetallisierung unter Anwendung einer thermisch-chemischen Behandlung auf Wasserstoffbasis
US7977798B2 (en) * 2007-07-26 2011-07-12 Infineon Technologies Ag Integrated circuit having a semiconductor substrate with a barrier layer
US7737013B2 (en) * 2007-11-06 2010-06-15 Varian Semiconductor Equipment Associates, Inc. Implantation of multiple species to address copper reliability
KR20110042052A (ko) * 2008-06-11 2011-04-22 솔라 임플란트 테크놀로지스 아이엔씨. 패시팅 및 이온 주입을 이용한 솔라 셀 제작
US8105937B2 (en) * 2008-08-13 2012-01-31 International Business Machines Corporation Conformal adhesion promoter liner for metal interconnects
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US20110021011A1 (en) * 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
EP2534674B1 (en) * 2010-02-09 2016-04-06 Intevac, Inc. An adjustable shadow mask assembly for use in solar cell fabrications
US9714474B2 (en) * 2010-04-06 2017-07-25 Tel Nexx, Inc. Seed layer deposition in microscale features
US8518818B2 (en) * 2011-09-16 2013-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse damascene process
CN104428883B (zh) 2011-11-08 2017-02-22 因特瓦克公司 基板处理系统和方法
KR20210070400A (ko) 2012-02-14 2021-06-14 엔테그리스, 아이엔씨. 주입 빔 및 소스 수명 성능 개선을 위한 탄소 도판트 기체 및 동축류
US8729702B1 (en) * 2012-11-20 2014-05-20 Stmicroelectronics, Inc. Copper seed layer for an interconnect structure having a doping concentration level gradient
TWI570745B (zh) 2012-12-19 2017-02-11 因特瓦克公司 用於電漿離子植入之柵極
CN103839604A (zh) * 2014-02-26 2014-06-04 京东方科技集团股份有限公司 导电膜及其制备方法、阵列基板
JP2015195282A (ja) * 2014-03-31 2015-11-05 東京エレクトロン株式会社 成膜方法、半導体製造方法及び半導体装置
GB2584372B (en) * 2018-02-22 2022-04-13 Massachusetts Inst Technology Method of reducing semiconductor substrate surface unevenness

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4749548A (en) * 1985-09-13 1988-06-07 Mitsubishi Kinzoku Kabushiki Kaisha Copper alloy lead material for use in semiconductor device
US5447887A (en) * 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6323131B1 (en) * 1998-06-13 2001-11-27 Agere Systems Guardian Corp. Passivated copper surfaces
US6211084B1 (en) * 1998-07-09 2001-04-03 Advanced Micro Devices, Inc. Method of forming reliable copper interconnects
US6303505B1 (en) * 1998-07-09 2001-10-16 Advanced Micro Devices, Inc. Copper interconnect with improved electromigration resistance
JP2000183003A (ja) * 1998-10-07 2000-06-30 Toshiba Corp 銅系金属用研磨組成物および半導体装置の製造方法
US6255217B1 (en) * 1999-01-04 2001-07-03 International Business Machines Corporation Plasma treatment to enhance inorganic dielectric adhesion to copper
JP4044236B2 (ja) * 1999-03-11 2008-02-06 株式会社東芝 半導体装置の製造方法
US6297155B1 (en) * 1999-05-03 2001-10-02 Motorola Inc. Method for forming a copper layer over a semiconductor wafer
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
JP4554011B2 (ja) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US6110817A (en) * 1999-08-19 2000-08-29 Taiwan Semiconductor Manufacturing Company Method for improvement of electromigration of copper by carbon doping
JP2001144090A (ja) * 1999-11-11 2001-05-25 Nec Corp 半導体装置の製造方法
JP2001338978A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition

Also Published As

Publication number Publication date
WO2003063209A3 (en) 2003-12-04
US6518184B1 (en) 2003-02-11
US20030137050A1 (en) 2003-07-24
CN1547770A (zh) 2004-11-17
MY125844A (en) 2006-08-30
AU2003207483A1 (en) 2003-09-02
TWI263327B (en) 2006-10-01
TW200303081A (en) 2003-08-16
EP1466358B1 (en) 2013-07-17
US7135775B2 (en) 2006-11-14
WO2003063209A2 (en) 2003-07-31
EP1466358A2 (en) 2004-10-13

Similar Documents

Publication Publication Date Title
CN1287441C (zh) 掺杂碳和硅的铜互连
US7030016B2 (en) Post ECP multi-step anneal/H2 treatment to reduce film impurity
US6821879B2 (en) Copper interconnect by immersion/electroless plating in dual damascene process
KR100711526B1 (ko) 구리 연결선을 갖는 반도체 장치의 제조방법
TW441015B (en) Dual-damascene interconnect structures and methods for fabricating same
US6657304B1 (en) Conformal barrier liner in an integrated circuit interconnect
US7189650B2 (en) Method and apparatus for copper film quality enhancement with two-step deposition
US20030116439A1 (en) Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
KR100498454B1 (ko) 2-단계 도금으로 다마신 배선을 형성하는 방법
US6566248B1 (en) Graphoepitaxial conductor cores in integrated circuit interconnects
WO2011084666A2 (en) Superfilled metal contact vias for semiconductor devices
CN1488167A (zh) 用于集成电路平面化的粘性保护覆盖层
CN1921102A (zh) 内连线结构及其制造方法、半导体装置
CN100517684C (zh) 半导体器件及其制造方法
KR101069630B1 (ko) 흡착억제제를 이용한 반도체 소자의 금속배선 형성방법
TW201017821A (en) Structure to facilitate plating into high aspect ratio vias
CN101074485A (zh) 电子部件制造方法
JPH11283979A (ja) 半導体装置の製造方法
KR100572825B1 (ko) 반도체 소자의 금속배선 형성방법
KR100421913B1 (ko) 반도체 소자의 금속 배선 형성방법
KR100451767B1 (ko) 반도체 소자의 금속 배선 형성방법
KR100451766B1 (ko) 반도체 소자의 금속 배선 형성방법
KR100720400B1 (ko) 반도체 소자의 금속 배선 형성방법
KR100447234B1 (ko) 반도체 소자의 금속 배선 형성방법
KR20030056391A (ko) 반도체 소자의 구리배선 형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20061129

Termination date: 20180107