CN1231945C - 改进等离子体处理室中的颗粒污染和处理偏差的方法及设备 - Google Patents

改进等离子体处理室中的颗粒污染和处理偏差的方法及设备 Download PDF

Info

Publication number
CN1231945C
CN1231945C CNB008185417A CN00818541A CN1231945C CN 1231945 C CN1231945 C CN 1231945C CN B008185417 A CNB008185417 A CN B008185417A CN 00818541 A CN00818541 A CN 00818541A CN 1231945 C CN1231945 C CN 1231945C
Authority
CN
China
Prior art keywords
plasma
process chamber
slip
lining
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB008185417A
Other languages
English (en)
Other versions
CN1425188A (zh
Inventor
托马斯·E·维克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1425188A publication Critical patent/CN1425188A/zh
Application granted granted Critical
Publication of CN1231945C publication Critical patent/CN1231945C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种等离子体处理室,包括表面暴露在处理室内部空间的粉浆浇注部件。粉浆浇注部件包括其内所含的游离硅和表面的保护层,该保护层保护硅免受处理室内部空间中的等离子体轰击。粉浆浇注部件可由粉浆浇注碳化硅制成,表面涂有CVD碳化硅。粉浆浇注部件包括处理室的一个或多个部件,例如晶片通道衬垫(21)、一整片或多个瓷片构成的衬套(20)、等离子体挡板(22)、喷淋头、绝缘元件等等。在采用等离子体刻蚀如氧化硅之类的绝缘体材料时,粉浆浇注部件减少了等离子体处理时的颗粒污染和处理偏差。

Description

改进等离子体处理室中的颗粒污染和处理偏差的方法及设备
技术领域
本发明涉及半导体处理设备,特别是改善了在对半导体衬底进行离子刻蚀之类的处理中对处理偏差的控制。
背景技术
在半导体处理领域,通过向真空室中提供刻蚀或沉积气体、并向气体施加RF场从而将气体激发到等离子体状态,真空处理室通常被用于在衬底上刻蚀或是化学气相沉积(CVD)物质。在美国专利No.4,340,462;No.4,948,458;No.5,200,232和No.5,820,723中公开了平行板、变压器耦合等离子体(TCPTM)[也称为感应耦合等离子体(ICP)]和电子回旋共振(ECR)反应器及其组件的例子。在这些反应器和设备中,由于等离子体环境的腐蚀特性,为减少颗粒和/或重金属污染,要求这些设备的组件必须表现出高耐蚀性。
在处理半导体衬底时,典型地,采用机械夹具或静电夹具(ESC)在真空室中的衬底座上将衬底保持就位。在美国专利No.5,262,029和No.5,838,529中可以看到这些夹具系统及其组件的例子。可以按不同的方式将处理气体提供给真空室,例如通过气嘴、气环、气体分配板等。在美国专利No.5,863,376中可以看到用于感应耦合等离子体反应器及其组件的温控气体分配板。
铝和铝合金通常被用于等离子体反应器的壁。为防止反应器壁被腐蚀,已经提出了各种在铝的表面涂上不同涂层的技术。例如,美国专利No.5,641,375公开的技术是对铝真空室壁进行阳极氧化处理以减少等离子体腐蚀和磨损。该’375专利提及,阳极氧化层最终被溅射或刻蚀掉,从而必须更换真空室。美国专利No.5,680,013提及,美国专利No.4,491,496公开了一种在刻蚀室的金属表面上采用火焰喷涂Al2O3的技术。该’013专利提及,由于热循环,在铝和氧化铝之类的陶瓷涂层之间的热膨胀系数差导致涂层的破裂,涂层最终在腐蚀环境中失效。美国专利No.5,085,727公开了一种用于等离子体真空室壁的碳涂层,其中采用等离子体辅助CVD来沉积该涂层。
为保护真空室壁,美国专利No.5,366,585;No.5,556,501;No.5,788,799;No.5,798,016和No.5,885,356提出放置衬套。例如,该’585专利公开了一种由固体氧化铝加工而成、厚度至少为0.005英寸的独立陶瓷衬套。该’585专利也提及,可通过火焰喷涂或等离子体喷涂氧化铝来提供陶瓷层,该陶瓷层在沉积过程中不消耗下层的铝。该’501专利公开了一种工艺兼容的聚合物或石英或陶瓷衬套。该’799专利公开了一种温控陶瓷衬套,该衬套有一个内嵌的电阻加热器,陶瓷可以是氧化铝、氧化硅、氧化钛、氧化锆、碳化硅、碳化钛、碳化锆、氮化铝、氮化硼、氮化硅和氮化钛。该’016专利公开了一种陶瓷、铝、钢和/或石英衬套,选择铝是由于其易于加工,并且在铝上有一层氧化铝、Sc2O3或Y2O3,选择Al2O3作为铝的涂层以保护铝免受等离子体腐蚀。该‘356专利公开了用在CVD真空室中的一种氧化铝陶瓷衬套和用于晶片座的氮化铝陶瓷保护层。
美国专利No.5,904,778公开了一种在独立的SiC上、用于真空室壁、真空室顶或环绕晶片座的环套的SiC CVD涂层。美国专利No.5,292,399公开了一种环绕晶片座的SiC环。在美国专利No.5,182,059中公开了一种用于制备烧结SiC的技术。
除以上所述之外,在美国专利No.4,401,689(感受器管)、No.4,518,349(加热炉支杆)、No.4,999,228(扩散管)、No.5,074,456(上电极)、No.5,252,892(等离子体阴极室)、No.5,460,684(ESC的电阻层)、No.5,463,525(读出针)、No.5,578,129(负荷锁定系统的滤板)、No.5,538,230(晶片舟)、No.5,595,627(上电极)、No.5,888,907(电极板)和No.5,892,236(离子注入设备)中公开了在半导体处理设备中使用碳化硅的技术。
其它一些文件包括日本专利公报No.60-200519(感应器),No.63-35452(扩散炉管、衬套管,端口元件、开关),No.63-186874(微波加热样品板),No.63-138737(等离子体刻蚀反应器的上电极),No.3-201322(真空环境部件的涂层),No.8-17745(晶片加热器)。在这些专利中,日本专利公报No.63-35452公开了由粉浆浇注碳化硅制成的部件。
对于喷淋头式气体分配系统之类的等离子体反应器组件,对于喷淋头的材料提出了各种建议。例如。美国No5,569,356公开了一种硅、石墨或碳化硅的喷淋头。美国专利No.5,888,907公开了一种非晶碳、SiC和Al的喷淋头电极。美国专利No.5,022,979公开了一种完全由SiC制成的喷淋头电极,或者采用一种以碳为基、采用CVD沉积SiC以提供高纯度SiC表层的材料制成。
在讨论在处理半导体晶体过程中的清洁及去除污染等需要时,美国专利No.5,538,230引用了美国专利No.3,962,391、No.4,093,201、No.4,203,940、No.4,203,940、No.,4,761,134、No.4,978,567、No.4,987,016t和日本公开No.50-90184。该’230专利也引用了美国专利No.3,951,587和5,283,089以讨论SiC部件,引用美国专利No.4,761,134以讨论在渗Si的SiC或未填充Si的多孔Si上CVD沉积SiC。
日本公开No.63-273323公开用于ECR等离子体沉积设备的SiC部件,其中在样品上沉积二氧化硅,通过在室中产生等离子体并向室中引入甲烷和硅烷来在SiC部件上涂上SiC。
鉴于对半导体处理设备中的组件有高纯度和耐蚀的要求,在技术上有必要改善在这些组件中所用的材料和/或涂层。而且,对于真空室材料,任何能够增加反应室的寿命、从而减少设备故障时间的材料都将对降低半导体晶片的处理费用有益。
发明内容
本发明提供了一种处理半导体衬底以及减少颗粒污染和/或在连续处理衬底时的处理偏差的方法。本方法包括步骤(a)将一个衬底放置在等离子体处理室内部空间中的衬底座上,处理室至少包括一个有一个面暴露在内部空间的粉浆浇注部件,粉浆浇注部件包括游离硅和保护硅免受内部空间的等离子体轰击的保护层,(b)通过向处理室中提供处理气并在处理室中将处理气激发成等离子体态来处理衬底,粉浆浇注部件暴露在等离子体中并可选地提供RF电流的地路径,该RF电流维持等离子体(c)从处理室中取出衬底,以及(d)重复步骤(a-c),在处理室中连续处理另外的衬底,由于保护游离硅免受等离子体轰击,在处理步骤中对衬底的颗粒污染和/或处理偏差减少。
根据本方法的一个可选方面,粉浆浇注部件可包括处理室侧壁的衬套,处理室可包括基本上呈平面状的天线,通过向天线提供RF电力将处理气激发成等离子体态。对于氧化物材料的等离子体刻蚀,处理气可包括一种或多种碳氢氟化合物。对于氧化刻蚀,当RF偏置在衬底上时,等离子体最好包括刻蚀衬底上氧化层的高密度等离子体。
粉浆浇注部件可包括室的一个或多个部件。例如,粉浆浇注部件可包括处理室侧壁之内的衬套、向处理室提供处理器的气体分配板、在衬底座和处理室内壁之间延伸的穿孔挡板、晶片通道衬垫、环绕衬底的聚焦环、保护处理监控设备的筒状衬套,等等。在优选实施例中,粉浆浇注部件是一个安装在处理室侧壁内陶瓷衬套中的开口上的晶片通道衬垫,其中衬套由加热器来加热,将衬套保持在所需的温度。粉浆浇注部件可由涂有CVD SiC涂层的渗硅的粉浆浇注SiC组成。
在一个示例性处理中,第一粉浆洗浇注部件包括可加热的衬套,第二粉粉浆浇注部件包括等离子体挡板,设置成衬套环绕着衬底支座,等离子体挡板伸入到衬套和衬底支座之间,在处理步骤中衬套被加热到高于室温的温度。在另一个处理中,粉浆浇注部件包括电阻率高到足以让RF能量通过的气体分配板,经由气体分配板,天线将RF能量耦合进入处理室中,将处理气激发。第三个粉浆浇注部件包括处理室衬套,该衬套的电阻率小于200Ω·cm,最好小于10Ω·cm。
本发明提供了一种用于处理半导体衬底的等离子体处理系统,包括等离子体处理室,处理室侧壁围绕该处理室的内部空间,衬底支座,在处理室侧壁与支座外围相隔开的内部空间里处理该支座上的衬底,气体源,在处理衬底时可通过该气体源将处理气提供到内部空间,能量源,在处理衬底时该能量源将内部空间中的气体激发到等离子体态,以及一个表面暴露在内部空间中的粉浆浇注部件,该粉浆浇注件内含硅以及表面上的保护层,该保护层防止硅被内部空间中的等离子体所轰击。
根据优选实施例,粉浆浇注件是采用硅回填的多孔碳化硅,保护层是化学气相沉积的碳化硅层。优选粉浆浇注部件是等离子体刻蚀反应器的晶片通道衬垫,基中气体源向内部空间提供碳氟化合物和/或碳氢氟化合物。
在次要的优选实施例中,采用弹性体粘结剂将粉浆浇注部件粘结在处理室上,该处理室可在处理室侧壁和衬底支座之间包括陶瓷衬套,其中粉浆浇注部件包括位于开口中沿衬套方向延伸的筒状衬套。优选的能量源是如平面线圈之类的天线,该天线通过绝缘元件将射频能量耦合传导至处理室。
根据优选实施例,处理室内部安装有喷淋头(该喷淋头的碳化硅表面穿过处理室顶部)、衬套(该衬套的碳化硅表面从喷淋头的碳化硅表面向下延伸)、等离子体挡板(该等离子体挡板的碳化硅表面从碳向内延伸),粉浆浇注件包括安装在衬套开口中的晶片通道衬垫,CVD SiC涂层形成晶片通道衬垫的表面上,半导体晶片通过该晶片通道衬垫进出处理室。
附图说明
图1表示根据本发明的、具有感应耦合等离子体源和由粉浆浇注部件构成的瓷片衬套的单个晶片真空处理室;
图2表示图1中的等离子体反应室,未示出包括瓷片衬套在内的各种组件;
图3表示支撑瓷片衬套的布局细节;
图4表示图3中等离子体室的透视图;
图5表示根据本发明的粉浆浇注的晶片通道衬垫的细节;
图6表示图3中的瓷片各边是怎样按互锁布局安装在一起的;
图7表示根据本发明的一片粉浆浇注的晶片通道衬垫的透视图;
图8表示图7所示衬垫的顶视图;以及
图9表示图中所示衬垫一角的放大图。
具体实施方式
根据本发明,提供了一种改善处理偏差控制的等离子体处理室。利用真空室中的、其表面暴露在内部空间中的一个或多个部件,以及包括游离硅和保护硅免受内部空间等离子体轰击的保护层的部件来实现处理偏差控制。游离硅可以是在多孔SiC基体中注入的硅,填充在孔隙中的硅减小了不必要的处理效应,并提高电导率,电导率的提高有利于降低部件的RF阻抗。
该部件可以有任意所需的配置,如晶片通道衬垫、室壁、衬底支座、电极、喷淋头等。参照优选实施例,该部件包括回填的或用硅填充的粉浆浇注碳化硅部件,以及最好由CVD碳化硅组成的保护涂层。
本发明所提供的等离子体室,其中各部件完全由Si或SiC制成。这种材料与等离子体环境相容,因为等离子体腐蚀Si或SiC产生气态的Si或C化合物,可以从室中抽走而不会对衬底产生颗粒污染。至于热控制,已经发现SiC表现出特别高的导热率,使得这种材料的部件可在处理硅晶片衬底时、在所需温度范围内加热或冷却。
根据本发明的粉浆浇注的部件可以按如下工序制作。在该工序准备了双峰分布的SiC粉末。例如,第一部分SiC的平均颗粒尺寸是10到80um,优选的是25到50um,第二部分的平均颗粒尺寸是80到200um,优选的是100到150um,第一部分SiC与第二部分SiC相结合,粉末混合物的结合采用了水或絮凝剂之类的有机介质,随后将混合物倒入模具中形成粉浆浇注部件。经干燥后(例如在室温下),对粉浆浇注部件进行加工,并在1500到2000℃左右的合适温度进行烧结,在酸槽中将烧结后的部件清洗干净,将清洗后的部件注入Si以填充部件中的孔隙(例如15-20%),例如,通过将粉末状的硅熔化并经过多孔石墨采用毛细作用将熔化的硅引入到部件中并填充部件中100%的孔隙,将部件冷却,然后去除任何从部件上流出的多余的硅,并采用金刚石研磨之类的方法将部件加工到最终的允许误差。该部件的表面可由任何合适厚度的SiC涂层所封闭,例如50到500um,优选地,对于没有暴露在等离子体中的部分大约是200um,或者,对于暴露在等离子体中的部分涂层厚度可超过1mm,优选地,对于直接暴露在等离子体中的部分厚度可以是2mm以上。
粉浆浇注部件可以用在任何对于减少颗粒污染和/或处理偏差有要求的等离子体反应室中。在图1中给出了带有感应耦合等离子体源的单个晶片真空处理室2的例子,其中,处理气由气体分配环、气体分配板、喷嘴等之类的合适的设备(未表示出)提供给处理室,在室的内部4中,真空由合适的真空泵设备来维持。将要在处理室中处理的衬底可包括由衬底支座8支持的硅半导体晶片6。衬底支座8可包括静电夹盘和聚焦环10。真空泵可与处理室底部之类的端壁上的出口上的大尺寸出口相连。真空处理室可包括绝缘窗口14、气体分配板16,RF电力可经由绝缘窗口14之外的平面线圈18之类的天线提供给真空室,该绝缘窗口在室顶部之类的端壁上。然而等离子体产生源可是任何类型的等离子体产生设备,例如ECR反应器、电容耦合平行板反应器、表面波反应器、磁控反应器、氦反应器、氦共振器等。等离子体产生源可安装于模块化安装结构上,例如环形安装凸缘,该环形安装凸缘以可移除的方式安装在室的端壁上。
为了在安装凸缘和室2之间保持紧密的真空密封,可采用合适的O型密封圈与室2端壁上的凹槽相配合,并在真空密封圈的周围环绕RF屏蔽元件。如果由真空泵提供的真空作用力很大,则没有必要使用将安装凸缘安装在室2上的紧固件。取而代之,可简单地将安装凸缘放在室2的端壁上。如果必要,可将安装凸缘或等离子体产生源组合件的其它部分铰接在室2上,以便等离子体产生源按如垂直方向一类的某个方向转动,从而向室2内部4提供电力。
处理室包括衬套20和等离子体挡板22,该等离子体挡板用于限制在环绕晶片6的空间中的等离子体,从衬套20和晶片通道衬垫21的下端伸入。可采用任何合适的方式支撑衬套20,例如,在固态柱状衬套的情形下可由弹性的可弯折的框架来支撑,该框架包括内支撑架24和外支撑架26。在处理衬底时,为将衬套保持在所需温度,在内支撑架24的上端可提供加热器28。在操作时,加热器28对衬套20加热,温控部件30经由内支撑架和外支撑架从衬套20上抽取热量,从而实现从衬套20去除热量。也可采用其它类型的加热装置,例如内嵌于衬套中的加热器或合适的辐射式加热装置。
如图2所示,处理室采用模块式设计,可在上面安装不同的等离子体产生源。进而,衬底支座8可被支撑在以悬臂的方式安装的支撑臂的一端,以便整个衬底支座/支撑臂组件可通过处理室侧壁的开口32从处理室中取出。处理室可采用任何合适的材料,参照本发明的优选实施例,处理室由一整块铝或铝合金组成。
参照本发明的第一个实施例,如图3和4所示,等离子体室衬套20包括联锁的陶瓷衬套元件,例如平瓷片34。为给等离子体提供电学上的接地路径,瓷片34最好是导电材料,如硅或碳。例如,瓷片可以完全是CVD SiC或涂有CVD SiC涂层的渗Si的SiC,这种材料的附加好处是不包含铝,从而减少所处理的衬底中的Al污染。或采用导电弹性胶38将SiC瓷片粘结在铝垫板36上,该导电弹性胶可吸收由SiC和Al之间不同热膨胀系数引起的侧面应力。可将每一个瓷片和垫板组合件直接或间接安装在室壁上。例如,可通过包括内支撑架42和外支撑架44的支撑架40来支撑瓷片。衬套的温度控制可通过由电导线49所提供电力的加热器48和温控元件50来实现。
弹性结合可包括任何合适的弹性材料,例如与真空环境相容并且不会在200℃以上的高温下发生热降解的聚合物材料。可选地,弹性材料可包括导电和/或导热颗粒填充物或其它形式的填充物,如丝网、纺织或无纺传导纤维等。在等离子体环境中,能在160℃之上使用的聚合物材料包括聚酰亚胺、聚酮、聚醚酮(polyetherketone)、聚醚砜、聚对苯二甲酸乙二酯、氟乙烯丙烯共聚物、纤维素、三醋酸酯、硅酮和橡胶。高纯度弹性材料的例子包括从General Eletric可获得的RTV133和RTV167单组分室温固化胶、从General Electric可获得的TSE3221单组分可流动热可固化(例如,超过100℃)胶和从Dow Corning可获得的”SILASTIC”双组分固化弹性体。更好的弹性体是含聚二甲基硅氧烷的弹性体,如从Rhodia可获得的V217催化剂固化(如Pt固化)弹性体,该弹性体在250℃和更高的温度是稳定的。
在弹性体是导电弹性体的情形下,导电填充物材料可由导电金属或金属合金的颗粒组成。在等离子体反应室这样的杂质敏感环境中,优选的材料是铝合金,例如含硅的重量比为5-20%的铝基合金。例如,铝合金含重量比大约为15%的硅。但也可使用硅或碳化硅填充物颗粒。
等离子体挡板52从瓷片34的下缘向内伸入。等离子体挡板52最好是导电陶瓷材料,如涂有CVD SiC涂层的渗Si的SiC,并且包括开口54,该开口要足够小以限制等离子体但又能允许通过真空泵来排出处理气和处理所产生的副产物。
加热器48可由内嵌于铝铸件中的电阻加热元件组成。通过让电流流经加热元件来向铝铸件提供热量,该铝铸件依次将热量传导至内支撑架42、铝垫板36、导热弹性体38,并传导至瓷片34。在加热器的铝质本体加热和冷却时,加热器将扩展到超出由瓷片34形成的陶瓷衬套之外的更大的范围。为适应这种膨胀和收缩,可将内外支撑架设置成能够进行弹性收缩。例如,可将支撑架设置成分段形式,以便下部沿轴向的伸展由轴向延伸的瓷片来隔开。此外,内外支撑架可被设置成提供所需的导热量。例如,外支撑架44可以是如铝或铝合金之类的金属,其下部厚度要足以从衬套中抽走热量,而薄的上部要足以允许外支撑架由于在处理半导体衬底时的热应力而弯曲。
图5给出了处理室壁的一部分,其中如晶片之类的衬底可经由晶片通道衬垫中的传送槽55送入处理室或从中取出。在图5所示的配置中,一些瓷片34在轴向方向上短于相邻的槽55。槽55最好由一片完整的涂有CVD SiC涂层的渗Si的SiC构成。
如图6所示,为防止在晶片6和室壁46之间形成视界(a line ofsight),每一个瓷片34都有边56与相邻瓷片的配合边互锁。如本可选实施例所示,室58可以有多边形的内表面60,其中瓷片由导电和导热弹性体直接粘在平整表面60上。这样设置的好处在于,与瓷片/垫板设置相比采用了更少的零件,并允许更快地取出衬套以便清洗和置换。
图7给出了粉浆浇注晶片通道衬垫70的透视图。衬垫70包括内表面72,该内表面形成如图5所示的晶片传送槽55。如图7所示的零件可按上述方式粉浆浇注成形,内表面72以及,可选地,外表面74可涂以CVD SiC涂层。衬垫朝向等离子体室内部的面上有直线边76,该直线边76与瓷片34与朝向处理室内部的面相反的表面相邻。图8给出了衬垫70的顶视图,图9是一个边76在角78处的放大图,边78包括一个长度与衬垫70壁厚相当的弯角部分79。
在前述的实施例中,处理室中的等离子体可由气体分配板、衬垫、等离子体挡板和衬底支座的SiC表面来限制,该衬底支座从等离子体挡板的内缘向上伸出。由于在渗Si的SiC之上的CVD涂层位于等离子体和处理室的铝质表面之间,等离子体对Al表面的溅射减小了,与Al表面对所处理晶片直接可见(with line-of-sight)的处理室相比,Al对所处理的晶片的污染减少了。
在前述的实施例中,衬套包括由涂有CVD SiC涂层的渗Si的SiC构成的瓷片,该瓷片由导电和/或导热弹性体粘结材料粘结在铝质垫板上。如必要,衬套可包括连续的表面而不是独立的瓷片。处理室可以是任何所需的形式,如柱状、多边形等。晶片通道衬垫中的进出开口允许单个晶片进出处理室,在涂有CVD SiC涂层的渗Si的SiC中可提供额外的开口,以便采用如过程控制设备之类的传统附件进行各种测量。瓷片朝向处理室内部的表面可以是平整的长方形。可选地,瓷片暴露的表面可以是曲面形状,以便瓷片形成处理室的柱状内壁。
在瓷片和垫板组合件栓在Al内支撑架上、该支撑架沿处理室的内壁延伸的实施例中,可适应在启动、运行和关闭等离子体室时产生的热应力。可选择SiC瓷片的数量,以实现对由等离子体室中遇到的热载荷所产生的局部和/或粘结应力的限制。
在内支撑架的下凸缘栓在Al外支撑架的下边上、外支撑架上边的凸缘栓在位于处理室顶部的顶盖上的实施例中,从外支撑架的下端向上凸缘延伸的槽将外支撑架隔开,将外支撑架分割成垂直延伸的板。为对SiC瓷片表面进行温度控制,可将位于内支撑架上凸缘之上的加热器栓在内支撑架上。按这样的设置,加热器产生的热量从内支撑架向垫板和SiC瓷片传导。加热器可由单个的电阻加热器组成,该加热器沿处理室的内壁延伸。可选地,加热器可由任何能够实现所需衬套温度控制的加热器配置组成,例如,在等离子体刻蚀如氧化硅之类的绝缘材料时将衬套内表面的温度保持在所需温度,如在80至160℃的温度范围内。
处理器可包括环绕衬底支座的等离子体挡板。可通过任何合适的技术将环状挡板安装在垫圈上。例如,可采用前面已讨论过的弹性体粘结材料将挡板粘结在垫圈上。此外,可将垫圈栓在内支撑架的下凸缘上,以便在垫圈和凸缘之间将挡板夹持住。挡板可是任何合适的、可承受半导体加工的等离子体环境的材料。碳化硅是用作挡板的优选材料。挡板可包括一个整体环或多个间隔分离的环形片。例如,挡板可包括在圆周上相隔开的片。
为适应晶片通道衬垫,内外支撑架包括其中的环绕晶片传送槽的切断处,该切断处被设置成较小的瓷片在槽的下面,而较大的瓷片在槽的上面。晶片通道衬垫最好由一整片先渗入Si后涂以CVD SiC涂层的粉浆浇注SiC组成。如有必要,衬垫可由一系列多片这样的材料制成。
根据本发明的实施例,其中通过在表面覆盖SiC瓷片来避免铝质组件的表面直接可见,瓷片的边缘最好设计成使其相互重叠的形式。例如,瓷片具有相互配合的边缘,其中一个瓷片上的突出部被相邻瓷片上的凹部所接收。可通过在瓷片相对的两表面提供不是直线的路径的任何设计来获得这样的效果。因而,所需的相互配合的瓷片边缘可由相互配合或多边的边缘表面来提供,如V-形、U-形、W-形、凹槽形、凹口形、偏移形等形式的边缘。
互锁的瓷片接合消除了对铝质组件的可见性,并在启动、运行和/或关闭等离子体反应器时适应衬套组件不同的热膨胀/收缩。例如,由加热器和/或等离子体离子溅射瓷片的热能产生的热量通过内支撑架传导,经过弹性体粘结剂传导至外支撑架,并进入处理室顶板。由于顶板通过冷却通道进行水冷,由外支撑架传送来的热量得以从处理室中去除。
在处理半导体衬底时,等离子体在处理室中产生之前,可采用加热器对瓷片进行预热。例如,可采用加热器将瓷片加热到所需的温度,并采用温控系统调节加热器的功率,将瓷片保持在所需的温度。等离子体在处理室中产生之后,控制系统可自动减少加热器功率以保持所需时间的温度。而且可调节内和/或外支撑架的热阻,以实现瓷片运行温度所需范围,并限制加热器最高温度。
在如等离子体刻蚀硅晶片之类的半导体衬底处理时,为减小由刻蚀处理时产生的气体副产物对聚合物的溅射,有必要在大约80℃至大约160℃,优选地,从110至150℃的温度将处理室表面暴露在等离子体中。此外,对这些表面的温度控制减少了在连续处理单个晶片时的处理偏差。在触发处理室中等离子体之前,可采用电阻加热器通过热传导加热陶瓷衬套,也就是说,热量通过有弹性的Al支架从加热器传导至陶瓷衬套。在这样的配置中,为将陶瓷衬套加热到大约150℃,加热器和部分与之相连的Al支架可加热至大约300℃。有弹性的Al支架由内外支架组成,允许与加热器相接触的部分Al支架相对于与陶瓷衬套相接触的Al支架部分延伸,从而适应任何在Al支架中间部分的弯曲应变。
如果有必要,处理室的一个或多个零件可由CVD SiC制成。可在如石墨之类的衬底上溅射CVD SiC,采用如机械加工之类的方法去除衬底后将CVD SiC生长到所需的厚度。例如,在柱状衬套的情形下,可在石墨柱体上溅射CVD SiC,使其达到所需厚度,然后采用机械加工去除石墨柱体,留下CVD SiC柱状衬套。CVD SiC的优点包括高热导率(也就是说,CVD SiC的热导率大约是烧结SiC的两倍)和可定制的电阻率(也就是说,SiC的电阻率可在导电性和半导电性之间改变)。采用CVD SiC作为反应器组件的一个优点是有可能在反应器内的组件表面上获得高度一致的温度分布。在处理的情形下,其中组件保持的温度足够高,以减少聚合物在组件暴露表面上的集结,从温度控制和减少颗粒产生的观点来看,使用CVD SiC非常有优势。
根据本发明的粉浆浇注组件的制备例子如下。
按重量的1份平均颗粒直径在10um和30um之间的SiC粉末中等颗粒与按重量的1-2.5份平均颗粒直径在80um和200um之间的SiC粉末粗颗粒形成混合物,在添加有机粘结介质之后,将该混合物揽拌及轧碎。然后混合物在石膏模中成形,将成形后的部件干燥处理。接着在800至1200℃之间将成形后的部件进行预烧结,随后在向成形后的部件渗入Si的同时在1500至1800℃之间进行反应烧结。将烧结后的部件放在真空炉中,并采用以4ml/min通入的三氯甲基硅烷气和以4000ml/min通入的、作为载体气的氢气相混合,涂以SiC涂层。从而制造出涂有CVD SiC涂层的粉浆浇注SiC部件。
在前述内容中描述了本发明的原理、优选实施例和操作模式。然而不能将本发明解释为仅限于所讨论的特殊实施例。因此,应当将上述讨论的实施例视为示例说明而不是限制,可以理解的是,本领域的技术人员对那些实施例可能进行的更改也在以下权利要求所限定的、本发明的保护范围之内。

Claims (18)

1.一种处理半导体衬底的方法,用于减少在连续处理衬底时产生的颗粒污染或处理偏差,该方法包括以下步骤:
(a)将衬底放在等离子体处理室内部空间中的衬底支座上,该处理室至少包括一个表面暴露于内部空间中的粉浆浇注部件,该粉浆浇注部件内含游离硅以及在表面上具有保护层,该保护层保护硅免受内部空间中的等离子体轰击;
(b)通过向处理室中提供处理气体并在处理室中将处理气体激发到等离子体状态来处理衬底,粉浆浇注部件暴露在等离子体中并可选地为维持等离子体的射频电流提供接地路径;
(c)将衬底从处理室中取出;以及
(d)通过重复步骤(a)-(c),同时由于保护游离硅免受等离子体轰击而最小化在处理步骤中对衬底的颗粒污染或减小处理偏差,从而在处理室中连续处理其它衬底。
2.根据权利要求1所述的方法,其中粉浆浇注部件包括在处理室侧壁之中的衬套,处理室包括呈平面状的天线,通过向该天线提供射频电力,处理气被激发到等离子体状态,处理气包括一种或多种氢碳氟化合物气体。
3.根据权利要求1所述的方法,其中等离子体包括高密度等离子体,在向衬底提供射频偏置时高密度等离子体刻蚀衬底上的氧化层,从而对衬底进行处理。
4.根据权利要求1所述的方法,其中粉浆浇注部件包括处理室侧壁中的衬套、向处理室中提供处理气体的气体分配板、在衬底支座和处理室内壁之间延伸的穿孔挡板、晶片通道衬垫和/或环绕衬底的聚焦环。
5.根据权利要求1所述的方法,其中粉浆浇注部件包括安装在处理室侧壁中陶瓷衬套开口内的晶片通道衬垫,所述衬套由加热器进行加热,从而将衬套保持在所需温度。
6.根据权利要求1所述的方法,其中粉浆浇注部件包括涂有化学气相沉积碳化硅涂层的渗硅的粉浆浇注碳化硅。
7.根据权利要求1所述的方法,其中粉浆浇注部件包括被加热的衬套和挡板,衬套环绕着衬底支座,挡板包括在衬套和衬底支座之间延伸的有小孔的环,在处理步骤中衬套被加热到室温之上。
8.根据权利要求1所述的方法,其中粉浆浇注部件包括气体分配板,该气体分配板的电阻率高到能够允许射频能量通过,天线将射频能量穿过气体分配板耦合进处理室,从而将处理气体激发。
9.根据权利要求8所述的方法,其中粉浆浇注部件进而还包括电阻率低于200Ω·cm的处理室衬套。
10.一种用于处理半导体衬底的等离子体处理系统,包括:
等离子体处理室,该处理室的内部空间由处理室侧壁来限制;
衬底支座,在内部空间中处理该支座上的衬底,处理室侧壁与衬底支座外围相隔开;
气体源,在处理衬底时通过该气体源将处理气体提供给内部空间;
能量源,在处理衬底时,该能量源可将内部空间中的处理气体激发到等离子体状态;
表面暴露在内部空间中的粉浆浇注部件,该粉浆浇注部件包括渗有游离硅的多孔表面并且在表面上具有保护层,该保护层保护硅免受内部空间的等离子体轰击。
11.根据权利要求10所述的等离子体处理系统,其中粉浆浇注件是采用硅回填的多孔碳化硅。
12.根据权利要求10所述的等离子体处理系统,其中保护层是化学气相沉积的碳化硅层。
13.根据权利要求10所述的等离子体处理系统,其中粉浆浇注部件是等离子体刻蚀反应器的晶片通道衬垫。
14.根据权利要求10所述的等离子体处理系统,其中气体源向内部空间提供碳氟化合物和/或氟代碳氢化合物。
15.根据权利要求10所述的等离子体处理系统,其中采用弹性体粘结剂将粉浆浇注部件附着到处理室侧壁上。
16.根据权利要求10所述的等离子体处理系统,其中处理室包括在处理室侧壁和衬底支座之间的陶瓷衬套,粉浆浇注部件包括在沿衬套延伸的开口内的筒状衬套。
17.根据权利要求10所述的等离子体处理系统,其中能量源包括天线,该天线将射频能量经由绝缘元件感应耦合到处理室内。
18.根据权利要求10所述的等离子体处理系统,其中所述处理室内部由喷淋头、衬套、等离子体挡板来限定,该喷淋头的碳化硅表面穿过处理室顶部,该衬套的碳化硅表面从喷淋头的碳化硅表面向下延伸,该等离子体挡板的碳化硅表面从衬套的磁化硅表面向内延伸,粉浆浇注件包括安装在衬套开口中的晶片通道衬垫,化学气相沉积碳化硅涂层形成晶片通道衬垫的表面,半导体晶片通过该表面进出处理室。
CNB008185417A 1999-12-22 2000-12-11 改进等离子体处理室中的颗粒污染和处理偏差的方法及设备 Expired - Lifetime CN1231945C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/469,300 US6673198B1 (en) 1999-12-22 1999-12-22 Semiconductor processing equipment having improved process drift control
US09/469,300 1999-12-22

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CNA2005101188998A Division CN1783415A (zh) 1999-12-22 2000-12-11 半导体处理设备

Publications (2)

Publication Number Publication Date
CN1425188A CN1425188A (zh) 2003-06-18
CN1231945C true CN1231945C (zh) 2005-12-14

Family

ID=23863258

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB008185417A Expired - Lifetime CN1231945C (zh) 1999-12-22 2000-12-11 改进等离子体处理室中的颗粒污染和处理偏差的方法及设备
CNA2005101188998A Pending CN1783415A (zh) 1999-12-22 2000-12-11 半导体处理设备

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNA2005101188998A Pending CN1783415A (zh) 1999-12-22 2000-12-11 半导体处理设备

Country Status (7)

Country Link
US (3) US6673198B1 (zh)
KR (1) KR100797424B1 (zh)
CN (2) CN1231945C (zh)
AU (1) AU2252301A (zh)
MY (1) MY126066A (zh)
TW (1) TW471018B (zh)
WO (1) WO2001046986A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI453817B (zh) * 2007-06-13 2014-09-21 Lam Res Corp 利用導熱性密合墊及o形環之電極組件與電漿處理室

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US7302670B2 (en) * 2000-12-21 2007-11-27 Bryan Darrell Bowyer Interactive interface resource allocation in a behavioral synthesis tool
JP2002243898A (ja) * 2001-02-13 2002-08-28 Ebara Corp ビーム取り出し装置
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
JP2003213421A (ja) * 2002-01-21 2003-07-30 Hitachi Kokusai Electric Inc 基板処理装置
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
WO2004105443A1 (en) * 2003-05-20 2004-12-02 Biotage Ab Microwave heating device
JP4312063B2 (ja) * 2004-01-21 2009-08-12 日本エー・エス・エム株式会社 薄膜製造装置及びその方法
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US8058186B2 (en) * 2004-11-10 2011-11-15 Tokyo Electron Limited Components for substrate processing apparatus and manufacturing method thereof
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
US8608851B2 (en) 2005-10-14 2013-12-17 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma confinement apparatus, and method for confining a plasma
WO2008058270A2 (en) * 2006-11-10 2008-05-15 Saint-Gobain Ceramics & Plastics, Inc. A susceptor and method of forming a led device using such susceptor
US7612311B2 (en) * 2006-11-17 2009-11-03 Lam Research Corporation Methods and systems for controlling electric heaters
KR100783060B1 (ko) * 2006-12-27 2007-12-07 세메스 주식회사 포커스 링 및 이를 갖는 기판 처리 장치
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
TWI361469B (en) * 2007-03-09 2012-04-01 Rohm & Haas Elect Mat Chemical vapor deposited silicon carbide articles
US7604696B2 (en) * 2007-03-21 2009-10-20 John Carberry Method of making a solar grade silicon wafer
JP5281766B2 (ja) * 2007-07-31 2013-09-04 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
WO2009057838A1 (en) * 2007-11-01 2009-05-07 Eugene Technology Co., Ltd Apparatus for surface-treating wafer using high-frequency inductively-coupled plasma
KR101553423B1 (ko) 2007-12-19 2015-09-15 램 리써치 코포레이션 반도체 진공 프로세싱 장치용 필름 점착제
US8418649B2 (en) * 2007-12-19 2013-04-16 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
JP2009200184A (ja) * 2008-02-20 2009-09-03 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置のバッフル板
US9520314B2 (en) 2008-12-19 2016-12-13 Applied Materials, Inc. High temperature electrostatic chuck bonding adhesive
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US9620664B2 (en) 2010-05-25 2017-04-11 Mossey Creek Technologies, Inc. Coating of graphite tooling for manufacture of semiconductors
WO2011150057A2 (en) 2010-05-25 2011-12-01 Mossey Creek Solar, LLC Method of producing a solar cell
US9908282B2 (en) 2010-05-25 2018-03-06 Mossey Creek Technologies, Inc. Method for producing a semiconductor using a vacuum furnace
US9339116B2 (en) * 2010-11-04 2016-05-17 Applied Invention, Llc Systems, structures and processes with embedded resistance device
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013013138A1 (en) 2011-07-20 2013-01-24 Mossey Creek Solar, LLC Substrate for use in preparing solar cells
US8592783B2 (en) * 2011-09-26 2013-11-26 Varian Semiconductor Equipment Associates, Inc. Titanium diboride coating for plasma processing apparatus
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9396912B2 (en) 2011-10-31 2016-07-19 Lam Research Corporation Methods for mixed acid cleaning of showerhead electrodes
US9543493B2 (en) 2011-11-22 2017-01-10 Mossey Creek Technologies, Inc. Packaging for thermoelectric subcomponents
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140305478A1 (en) 2013-04-15 2014-10-16 Mossey Creek Solar, LLC Method for Producting a Thermoelectric Material
SG10201709699RA (en) * 2013-05-23 2017-12-28 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
CN104789946B (zh) * 2014-01-21 2017-04-26 上海理想万里晖薄膜设备有限公司 一种用于pecvd反应腔的绝热导电装置及其应用
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN103839841A (zh) * 2014-03-17 2014-06-04 上海华虹宏力半导体制造有限公司 嵌套工具和反应腔室
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR101598465B1 (ko) 2014-09-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 방법
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
RU2595156C2 (ru) * 2014-12-15 2016-08-20 Федеральное государственное бюджетное научное учреждение "Федеральный исследовательский центр Институт прикладной физики Российской академии наук" (ИПФ РАН) Плазменный свч реактор для газофазного осаждения алмазных пленок в потоке газа (варианты)
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102151631B1 (ko) * 2016-01-22 2020-09-03 세메스 주식회사 기판 처리 장치 및 방법
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10090174B2 (en) 2016-03-01 2018-10-02 Lam Research Corporation Apparatus for purging semiconductor process chamber slit valve opening
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
CN111602235A (zh) * 2018-01-29 2020-08-28 应用材料公司 用于在pvd处理中减少颗粒的处理配件几何形状
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
CN110484895B (zh) * 2018-05-14 2021-01-08 北京北方华创微电子装备有限公司 腔室组件及反应腔室
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN208835019U (zh) * 2018-11-12 2019-05-07 江苏鲁汶仪器有限公司 一种反应腔内衬
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN111312575B (zh) * 2018-12-12 2022-09-16 北京北方华创微电子装备有限公司 内衬组件及反应腔室
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
CN110042368A (zh) * 2019-05-14 2019-07-23 合肥本源量子计算科技有限责任公司 一种化学气相沉积生产石墨烯异质结的装置
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20200145977A (ko) 2019-06-21 2020-12-31 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 소자 제조 방법
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
CN112447472B (zh) * 2019-08-27 2023-03-07 中微半导体设备(上海)股份有限公司 改善气体均一分布的等离子体反应装置
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102022204358A1 (de) 2022-05-03 2023-11-09 Robert Bosch Gesellschaft mit beschränkter Haftung Schutzelement und Plasmaätzkammer mit einem Schutzelement
DE202022002731U1 (de) 2022-05-03 2023-02-20 Robert Bosch Gesellschaft mit beschränkter Haftung Schutzelement und Plasmaätzkammer mit einem Schutzelement

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2322952C3 (de) 1973-05-07 1979-04-19 Siemens Ag, 1000 Berlin Und 8000 Muenchen Verfahren zum Herstellen von Horden für die Aufnahme von Kristallscheiben bei Diffusions- und Temperprozessen
US4093201A (en) 1973-05-07 1978-06-06 Siemens Aktiengesellschaft Disc support structure
DE2349512C3 (de) 1973-10-02 1978-06-08 Siemens Ag, 1000 Berlin Und 8000 Muenchen Verfahren zum Herstellen von Halterungen aus Silicium oder Siliciumcarbid für Diffusions- und Temperprozesse
US3951587A (en) 1974-12-06 1976-04-20 Norton Company Silicon carbide diffusion furnace components
US4040849A (en) * 1976-01-06 1977-08-09 General Electric Company Polycrystalline silicon articles by sintering
US4401689A (en) 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
US4518349A (en) 1983-12-01 1985-05-21 Better Semiconductor Processes (Bsp) Cantilevered boat-free semiconductor wafer handling system
JPS60200519A (ja) 1984-03-26 1985-10-11 Hitachi Ltd 発熱体
JPS6335452A (ja) 1986-07-31 1988-02-16 東芝セラミツクス株式会社 半導体拡散炉用構成部材の製造方法
JP2550037B2 (ja) 1986-12-01 1996-10-30 株式会社日立製作所 ドライエッチング方法
JP2532227B2 (ja) 1987-01-29 1996-09-11 電気興業株式会社 炭素皮膜の気相合成装置
US4761134B1 (en) 1987-03-30 1993-11-16 Silicon carbide diffusion furnace components with an impervious coating thereon
JPS63273323A (ja) 1987-05-01 1988-11-10 Nippon Telegr & Teleph Corp <Ntt> 膜形成装置
JP2548949B2 (ja) 1987-09-01 1996-10-30 東芝セラミックス株式会社 半導体製造用構成部材
US4741925A (en) 1987-09-14 1988-05-03 Gte Products Corporation Method of forming silicon nitride coating
JPH0741153Y2 (ja) 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
US4869929A (en) * 1987-11-10 1989-09-26 Air Products And Chemicals, Inc. Process for preparing sic protective films on metallic or metal impregnated substrates
US4978567A (en) 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
US4999228A (en) * 1988-05-06 1991-03-12 Shin-Etsu Chemical Co., Ltd. Silicon carbide diffusion tube for semi-conductor
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US5182059A (en) 1989-01-17 1993-01-26 Ngk Insulators, Ltd. Process for producing high density SiC sintered bodies
US5252892A (en) 1989-02-16 1993-10-12 Tokyo Electron Limited Plasma processing apparatus
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5283089A (en) 1989-11-13 1994-02-01 Norton Company Non-porous diffusion furnace components
JP2597018B2 (ja) 1989-12-26 1997-04-02 日本原子力研究所 絶縁用部材及びそれを用いた電気部品
US5221558A (en) * 1990-01-12 1993-06-22 Lanxide Technology Company, Lp Method of making ceramic composite bodies
US5292399A (en) 1990-04-19 1994-03-08 Applied Materials, Inc. Plasma etching apparatus with conductive means for inhibiting arcing
US5085727A (en) 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
JP2701615B2 (ja) 1991-09-27 1998-01-21 三井造船株式会社 半導体拡散炉用ウェハボートの製造方法
US5460684A (en) 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5578129A (en) 1993-03-17 1996-11-26 Tokyo Electron Limited Gas supplying head and load lock chamber of semiconductor processing system
DE69420774T2 (de) * 1993-05-13 2000-01-13 Applied Materials Inc Kontrolle der Kontamination in einem Plasma durch Ausgestaltung des Plasmaschildes unter Verwendung von Materialien mit verschiedenen RF-Impedanzen
US5571758A (en) * 1993-08-19 1996-11-05 General Electric Company Nitrogen-reacted silicon carbide material
TW277139B (zh) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
US5463525A (en) 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
JP3361385B2 (ja) 1994-06-30 2003-01-07 東芝機械株式会社 ヒータ
FR2722939B1 (fr) 1994-07-22 1996-08-23 Alcatel Fibres Optiques Torche a plasma par induction
US5538230A (en) 1994-08-08 1996-07-23 Sibley; Thomas Silicon carbide carrier for wafer processing
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
FR2741063B1 (fr) * 1995-11-14 1998-02-13 Europ Propulsion Procede pour l'introduction dans des substrats poreux d'une composition en fusion a base de silicium
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US5783496A (en) * 1996-03-29 1998-07-21 Lam Research Corporation Methods and apparatus for etching self-aligned contacts
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6048798A (en) * 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
EP0826646B1 (en) 1996-08-27 2003-06-18 Asahi Glass Company Ltd. Highly corrosion-resistant silicon carbide product
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
JPH10287483A (ja) * 1997-04-09 1998-10-27 Ngk Insulators Ltd 気密部品およびその製造方法
US5892236A (en) 1997-07-09 1999-04-06 Bridgestone Corporation Part for ion implantation device
KR19990009773A (ko) * 1997-07-11 1999-02-05 윤종용 플로팅 상태를 갖는 플라즈마 챔버의 서셉터
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100602072B1 (ko) 1998-03-31 2006-07-14 램 리서치 코포레이션 오염 제어 방법 및 플라즈마 공정 챔버
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
DE19834018C1 (de) * 1998-07-28 2000-02-03 Deutsch Zentr Luft & Raumfahrt Verfahren zum Erzeugen einer Siliziumkarbid enthaltenden Schutzschicht
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI453817B (zh) * 2007-06-13 2014-09-21 Lam Res Corp 利用導熱性密合墊及o形環之電極組件與電漿處理室

Also Published As

Publication number Publication date
US6881608B2 (en) 2005-04-19
AU2252301A (en) 2001-07-03
TW471018B (en) 2002-01-01
KR20020081228A (ko) 2002-10-26
WO2001046986A1 (en) 2001-06-28
US6673198B1 (en) 2004-01-06
US20050145176A1 (en) 2005-07-07
CN1425188A (zh) 2003-06-18
KR100797424B1 (ko) 2008-01-23
CN1783415A (zh) 2006-06-07
MY126066A (en) 2006-09-29
US20040092120A1 (en) 2004-05-13

Similar Documents

Publication Publication Date Title
CN1231945C (zh) 改进等离子体处理室中的颗粒污染和处理偏差的方法及设备
CN1215525C (zh) 具有平铺式瓷衬的半导体加工设备
CN1167103C (zh) 用于等离子加工的弹性接合部件及其制造方法和其应用
EP1214732B1 (en) Semiconductor processing equipment having radiant heated ceramic liner
KR100504614B1 (ko) 반도체 처리를 위한 가스 분산장치
KR101645043B1 (ko) 플라즈마 프로세싱 챔버, 플라즈마 프로세싱 콤포넌트 및 플라즈마 식각 챔버 프로세싱 콤포넌트 제조 방법
EP1145273B1 (en) Low contamination high density plasma etch chambers and methods for making the same
CN109477207A (zh) 溅射喷淋头
CN1740386A (zh) 制造半导体或液晶的装置
KR102585287B1 (ko) 기판 처리 장치 및 이의 커버링

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20051214