CN1191621C - 具有高抗电迁移的导体的制作方法及其结构 - Google Patents
具有高抗电迁移的导体的制作方法及其结构 Download PDFInfo
- Publication number
- CN1191621C CN1191621C CNB008108315A CN00810831A CN1191621C CN 1191621 C CN1191621 C CN 1191621C CN B008108315 A CNB008108315 A CN B008108315A CN 00810831 A CN00810831 A CN 00810831A CN 1191621 C CN1191621 C CN 1191621C
- Authority
- CN
- China
- Prior art keywords
- conductor
- metal
- conducting film
- substrate
- copper
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 238000000576 coating method Methods 0.000 title abstract description 10
- 239000011248 coating agent Substances 0.000 title abstract description 8
- 238000013508 migration Methods 0.000 title description 11
- 230000005012 migration Effects 0.000 title description 9
- 229910052751 metal Inorganic materials 0.000 claims abstract description 67
- 239000002184 metal Substances 0.000 claims abstract description 67
- 238000000034 method Methods 0.000 claims abstract description 56
- 238000000151 deposition Methods 0.000 claims abstract description 23
- 230000008021 deposition Effects 0.000 claims abstract description 16
- 238000005229 chemical vapour deposition Methods 0.000 claims abstract description 10
- 239000000126 substance Substances 0.000 claims abstract description 7
- 230000003647 oxidation Effects 0.000 claims abstract description 6
- 238000007254 oxidation reaction Methods 0.000 claims abstract description 6
- 150000001875 compounds Chemical class 0.000 claims abstract description 5
- 230000008646 thermal stress Effects 0.000 claims abstract description 5
- 239000004020 conductor Substances 0.000 claims description 126
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 103
- 239000010949 copper Substances 0.000 claims description 100
- 229910052802 copper Inorganic materials 0.000 claims description 96
- 239000000758 substrate Substances 0.000 claims description 41
- 229910021645 metal ion Inorganic materials 0.000 claims description 17
- 230000015572 biosynthetic process Effects 0.000 claims description 15
- 230000007797 corrosion Effects 0.000 claims description 15
- 238000005260 corrosion Methods 0.000 claims description 15
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 12
- 229910052799 carbon Inorganic materials 0.000 claims description 9
- 238000000137 annealing Methods 0.000 claims description 8
- 229910052796 boron Inorganic materials 0.000 claims description 8
- 230000005611 electricity Effects 0.000 claims description 8
- 239000002082 metal nanoparticle Substances 0.000 claims description 8
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 7
- 238000004519 manufacturing process Methods 0.000 claims description 7
- -1 poly (arylene ether Chemical compound 0.000 claims description 7
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 7
- 238000005240 physical vapour deposition Methods 0.000 claims description 6
- 239000000377 silicon dioxide Substances 0.000 claims description 6
- 229910001218 Gallium arsenide Inorganic materials 0.000 claims description 5
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 5
- 229910000085 borane Inorganic materials 0.000 claims description 5
- 125000002147 dimethylamino group Chemical group [H]C([H])([H])N(*)C([H])([H])[H] 0.000 claims description 5
- RTZKZFJDLAIYFH-UHFFFAOYSA-N ether Substances CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 claims description 5
- 239000012212 insulator Substances 0.000 claims description 5
- UORVGPXVDQYIDP-UHFFFAOYSA-N trihydridoboron Substances B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 claims description 5
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 4
- 229910000881 Cu alloy Inorganic materials 0.000 claims description 4
- 206010037660 Pyrexia Diseases 0.000 claims description 4
- 229910003460 diamond Inorganic materials 0.000 claims description 4
- 239000010432 diamond Substances 0.000 claims description 4
- 238000001704 evaporation Methods 0.000 claims description 4
- 230000008020 evaporation Effects 0.000 claims description 4
- 238000000053 physical method Methods 0.000 claims description 4
- 229910052710 silicon Inorganic materials 0.000 claims description 4
- 239000010703 silicon Substances 0.000 claims description 4
- 230000008569 process Effects 0.000 abstract description 14
- 238000005498 polishing Methods 0.000 abstract description 4
- 238000009792 diffusion process Methods 0.000 abstract description 3
- 238000012545 processing Methods 0.000 abstract description 3
- 230000008901 benefit Effects 0.000 abstract description 2
- 238000001312 dry etching Methods 0.000 abstract 1
- 150000002739 metals Chemical class 0.000 abstract 1
- 239000010408 film Substances 0.000 description 44
- 238000005516 engineering process Methods 0.000 description 14
- KDLHZDBZIXYQEI-UHFFFAOYSA-N palladium Substances [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 11
- 230000035882 stress Effects 0.000 description 10
- 238000012360 testing method Methods 0.000 description 8
- 229910052721 tungsten Inorganic materials 0.000 description 7
- 229910052738 indium Inorganic materials 0.000 description 6
- 230000032258 transport Effects 0.000 description 6
- 239000000956 alloy Substances 0.000 description 5
- 229910045601 alloy Inorganic materials 0.000 description 4
- 229910052763 palladium Inorganic materials 0.000 description 4
- 229910017052 cobalt Inorganic materials 0.000 description 3
- 239000010941 cobalt Substances 0.000 description 3
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 235000017166 Bambusa arundinacea Nutrition 0.000 description 2
- 235000017491 Bambusa tulda Nutrition 0.000 description 2
- 241001330002 Bambuseae Species 0.000 description 2
- 229910020674 Co—B Inorganic materials 0.000 description 2
- 235000015334 Phyllostachys viridis Nutrition 0.000 description 2
- 239000011425 bamboo Substances 0.000 description 2
- 239000003054 catalyst Substances 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 239000003638 chemical reducing agent Substances 0.000 description 2
- 238000005253 cladding Methods 0.000 description 2
- WDHWFGNRFMPTQS-UHFFFAOYSA-N cobalt tin Chemical compound [Co].[Sn] WDHWFGNRFMPTQS-UHFFFAOYSA-N 0.000 description 2
- JPNWDVUTVSTKMV-UHFFFAOYSA-N cobalt tungsten Chemical compound [Co].[W] JPNWDVUTVSTKMV-UHFFFAOYSA-N 0.000 description 2
- 238000012937 correction Methods 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 239000000463 material Substances 0.000 description 2
- ACVYVLVWPXVTIT-UHFFFAOYSA-N phosphinic acid Chemical class O[PH2]=O ACVYVLVWPXVTIT-UHFFFAOYSA-N 0.000 description 2
- 230000001105 regulatory effect Effects 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 241001572350 Lycaena mariposa Species 0.000 description 1
- 229910004205 SiNX Inorganic materials 0.000 description 1
- 229910009038 Sn—P Inorganic materials 0.000 description 1
- 230000002180 anti-stress Effects 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 229910001429 cobalt ion Inorganic materials 0.000 description 1
- XLJKHNWPARRRJB-UHFFFAOYSA-N cobalt(2+) Chemical compound [Co+2] XLJKHNWPARRRJB-UHFFFAOYSA-N 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 239000004615 ingredient Substances 0.000 description 1
- 229910000765 intermetallic Inorganic materials 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 239000002105 nanoparticle Substances 0.000 description 1
- 238000001259 photo etching Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 238000005382 thermal cycling Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/288—Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76849—Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76861—Post-treatment or after-treatment not introducing additional chemical elements into the layer
- H01L21/76864—Thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y40/00—Manufacture or treatment of nanostructures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Electrodes Of Semiconductors (AREA)
- Chemically Coating (AREA)
Abstract
本发明的想法是在淀积层间介质之前,用1-20nm厚的金属层(63,74)涂敷芯片上互连(BEOL)布线中的被图形化的铜导线(60,70)的自由表面。这一涂层要足够薄,以便免除对抛光额外整平的需要,同时提供抗氧化、抗铜的表面或界面扩散的保护,本发明人已经证实铜的表面和界面扩散是电迁移和热应力空洞造成金属线条失效的首要原因。金属层(63,74)还提高了铜(60,70)与介质(66)之间的粘附强度,从而进一步提高了寿命和工艺成品率。自由表面是镶嵌工艺或干法腐蚀工艺中用以对铜布线进行图形化的CMP(化学机械抛光)的直接结果。提出了用选择性工艺将金属覆盖层(63,74)淀积到铜上以便尽量减少进一步处理。虽然能够使用金属或金属形成的化合物来进行化学汽相淀积(CVD),但我们已经使用诸如CoWP、CoSnP和Pd之类的无电金属涂敷方法来演示明显的可靠性好处。
Description
技术领域
本发明涉及到半导体芯片上的金属互连,更确切地说是涉及到一种表面涂敷或处理,用来防止电子流使导体表面原子向下运动即所谓电迁移现象和/或防止倾向于释放应力的应力梯度使导体表面原子移动即所谓应力引起的迁移。
背景技术
微电子电路越来越高的密度和速度,已经使金属化系统从Al(Cu)转向到了Cu,以便降低导体的电阻。同时,对更高的电流密度的要求已经暴露出Al(Cu)线由于电迁移而失效,限制了电路设计者能够提高性能的范围。铜的更高的熔点被期望能够改进导体的电流承载能力,延长电迁移寿命。但我们从所得到的测试结果已经发现,电迁移寿命主要依赖于诸如铜/介质界面处的原子输运之类的原子行为,而不依赖于铜晶格、晶粒边界或铜/衬里界面原子输运的本征特性。于是证明铜导体承受电流的特性并不明显地优越于Al(Cu)。
制造铜导体图形的基本工艺是采用镶嵌方法,其中,在介质层中制作沟槽,在沟槽中形成衬里,然后用铜填充沟槽,并对其进行化学机械抛光(CMP),终止于与介质层共平面的洁净铜顶面。铜/金属衬里界面被形成在铜导体的其他3个表面上。电迁移寿命是线条厚度、宽度和截面积的函数。测得的数据表明,仅仅顶部表面对具有竹状和接近竹状的晶粒结构的铜导体的质量输运有贡献,而边界与表面的组合对更宽的线条的质量输运有贡献。同一个关系被发现而与铜的淀积方法无关,亦即,不管是用物理汽相淀积(PVD)、化学汽相淀积(CVD)、或电镀来进行淀积,都出现此问题。除了电迁移寿命失效之外,还发现铜导体图形对热循环应力下的原子空洞很敏感,发现空洞总是开始于原子迁移率最高的铜/介质界面处。由于铜迁移速率即漂移速度是迁移率(D/kT)与驱动力(F)的乘积,其中D是铜的扩散率,T是绝对温度,k是波耳兹曼常数。对于电迁移和应力引起的迁移力,驱动力F分别是Z*eE和(Ds/Dx)W,其中Z*是有效电荷数目,e是电子电荷,E是电场,(Ds/Dx)是应力梯度,而W是原子体积。目前不可避免的是,能够实现最高性能和可靠性的铜导体技术的成就要求改变或修正覆盖层的铜/介质界面以降低铜输运和原子空洞。
铜导体表面的修正可以在CMP之后进行。此工艺则应该对铜有选择性,并在铜导体表面上提供一个薄层,以便基本上保持与包含沟槽的介质或绝缘体共平面。此工艺必须导致铜导体与层上随后制作的介质之间更好的粘附性和铜导体中铜原子更好的抗氧化性以及降低了的铜导体原子输运,以便提供最高的可靠性。
发明内容
根据本发明,借助于在诸如CMP整平或干法腐蚀工艺之后在铜表面上提供一个1-20nm的金属薄层,改变了铜导体的暴露铜表面,以便在后续的芯片处理和/或芯片利用过程中降低对电迁移、氧化、腐蚀、应力空洞和剥层的敏感性,从而改善可靠性和成品率。本发明提出了无电金属淀积方法作为在铜导体上形成金属薄层的方法,用来提高电迁移寿命和抗应力引起的迁移。
此选择性淀积工艺包含下列步骤。
a.用Pd离子催化剂选择性地激活铜表面,如方程1所示。
这一步骤在铜线表面上留下多个Pd纳米粒子,用作下一步骤的催化剂。
b.用无电还原方法选择性地淀积金属或合金,如方程2所示。
其中Men+是金属离子,例如Co2+。
例如,使用次磷酸盐作为还原剂,钴离子作为覆盖金属,则有方程3所示的化学反应。
在本发明中,我们使用了上述的次磷酸盐系统,并将金属钯、钴、钴钨、磷化钴钨、钴锡、磷化钴锡、磷化钴和钴的其它合金淀积到铜导体的表面上。还可以理解的是,能够使用其它的还原剂,例如能够淀积诸如Co-B或Ni-B之类的其它类型合金的二甲氨基硼烷,其中Co-B类型的合金例如是CoSnB和CoWB。
上述步骤a和b的结果是,铜导体的暴露表面被由金属化合物或合金组成的厚度最好为1-10nm的薄膜涂敷,该薄膜通过化学键和/或金属键牢固地粘附于铜表面上或铜表面中的铜原子。
在用来制作集成电路芯片上的铜互连的镶嵌工艺中,下列重要发现对于本发明来说是至关重要的。
1.铜表面上的涂敷膜的厚度必须薄:由于镶嵌工艺的考虑,最大厚度为20nm,最好是1-10nm。以这种方式获得至少3个主要性质:a)铜线的电阻率不受影响或增加不大于20%,b)各个铜导体之间搭桥可能造成的漏电被消除,以及c)铜导体或铜线不需要进一步整平。为了提供后续形成在其上的介质层的平整的顶部表面而不必整平后续的介质层的顶部表面,要求对铜导体/介质表面进行整平或基本的整平。一个表面上的台阶特征通过下一层被转移到该表面,特别是用CVD方法制作的表面。
2.涂敷膜的优选组分可以是Co-Sn-P、Co-W-P、Co-P或Pd。
3.具有涂敷膜的晶片在300℃下被退火2小时,以便进一步改善与铜表面的化学键合或金属键合,并减慢铜导体/介质界面处铜原子沿铜表面的扩散以及铜原子沿晶粒边界的扩散。铜导体/介质界面位于铜导体与形成在铜导体上的介质层之间。镶嵌工艺中的铜导体位于具有用铜导体填充沟槽之前制作在沟槽中的金属衬里的介质层中的沟槽中。
4.金属的选择性CVD或其它的淀积工艺也可以被用来在具有化学键合和/或金属键合的铜导体的表面上淀积金属膜,以便获得铜导体的更高的抗电迁移性。
具体地说,本发明提供了:
一种具有高抗电迁移性的导体的制作方法,它包含下列步骤:在衬底上制作介质层,在所述介质层中制作至少一个沟槽,在所述沟槽中形成金属衬里,在所述金属衬里上形成导体来填充所述沟槽,形成与所述介质层上表面共平面的所述导体的被整平的上表面,以及只在所述导体的所述上表面上形成厚度为1-20nm的导电膜以形成金属对金属的金属键,所述导电膜选自CoWP、CoSnP、CoP、CoB、CoSnB、CoWB、In和W构成的组,从而防止所述导体的所述上表面被氧化和腐蚀。
根据本发明的上述方法,其特征在于,所述形成导电膜的步骤包括用无电淀积方法制作所述导电膜的步骤,从而所述导体的所述上表面被防止了氧化和腐蚀,并提供了高的抗电迁移性和高的抗热应力空洞性。
根据本发明的上述方法,其特征在于,所述无电淀积膜的厚度为1-10nm。
根据本发明的上述方法,其特征在于,所述无电淀积步骤包括下列步骤:首先将所述衬底浸入金属离子溶液,从而在所述导体的所述上表面上形成一个金属纳米粒子层,然后将所述衬底再次浸入金属离子和次磷酸盐离子的无电络合物溶液,从而在所述导体的所述上表面上形成一个金属磷化物导电膜,以及在惰性或还原气氛中,于至少300℃的温度下,对所述衬底退火至少2小时,从而在所述导体与所述金属磷化物导电膜之间得到优异的粘附性。
根据本发明的上述方法,其特征在于,略去所述再次浸入步骤。
根据本发明的上述方法,其特征在于,所述无电淀积步骤包括下列步骤:首先将所述衬底浸入金属离子溶液,从而在所述导体的表面上形成一个金属纳米粒子层,然后将所述衬底再次浸入金属离子和二甲氨基硼烷的无电络合物溶液,从而在所述导体的所述上表面上形成一个金属-硼的导电膜层,以及在惰性或还原气氛中,于至少300℃的温度下,对所述衬底退火至少2小时,从而在所述导体与所述金属硼导电膜之间得到优异的粘附性。
根据本发明的上述方法,其特征在于,所述导电膜选自CoB、CoSnB、CoWB和NiB构成的组。
根据本发明的上述方法,其特征在于,用化学汽相淀积、物理汽相淀积、蒸发、溅射、以及金属热互扩散的物理方法,将所述导电膜涂敷在所述导体的表面上。
根据本发明的上述方法,其特征在于,所述导电膜选自Pd、In、W、及其混合物构成的组。
本发明还提供一种结构,它包含:衬底上的介质层,制作在所述衬底上的所述介质中的至少一个沟槽,制作在所述沟槽中的金属衬里,填充所述沟槽的所述衬里上的导体,所述导体选自铜和铜合金构成的组,具有所述介质层的上表面的所述导体的被整平了的上表面,以及只位于所述导体所述上表面上的导电膜,所述导电膜的厚度为1-20nm,形成金属对金属的化学键和金属键,所述导电膜选自CoWP、CoSnP、CoP、CoB、CoSnB、CoWB、In和W构成的组,从而防止所述导体的所述上表面被氧化和腐蚀。
根据本发明的上述结构,其特征在于,所述导电膜的厚度为1-10nm。
根据本发明的上述结构,还包括所述导体和所述介质层上所述导电膜上的绝缘介质覆盖层。
根据本发明的上述结构,其特征在于,所述绝缘介质覆盖层选自氮化硅、氧化硅、介电常数小于3.2的SiCOH的绝缘化合物、类金刚石碳、氟化类金刚石碳、和聚亚芳基醚构成的组。
根据本发明的上述结构,其特征在于,所述衬底选自硅、硅锗、绝缘体上硅、和砷化镓构成的组。
本发明还提供一种具有高抗电迁移性的导体的制作方法,它包含下列步骤:在衬底上形成图形化的导体,只在所述导体的所述表面上形成厚度为1-20nm的导电膜以形成金属对金属的金属键,所述导电膜选自CoWP、CoSnP、CoP、Pd、CoB、CoSnB、CoWB、In和W构成的组,从而防止所述导体的所述上表面被氧化和腐蚀。
根据本发明的上述方法,其特征在于,所述形成导电膜的步骤包括用无电淀积方法制作所述导电膜的步骤,从而所述导体的所述表面被防止了氧化和腐蚀,并提供了高的抗电迁移性和高的抗热应力空洞性。
根据本发明的上述方法,,其特征在于,所述无电淀积膜的厚度为1-10nm。
根据本发明的上述方法,其特征在于,所述无电淀积步骤包括下列步骤:首先将所述衬底浸入金属离子溶液,从而在所述导体的所述表面上形成一个金属纳米粒子层,然后将所述衬底再次浸入金属离子和次磷酸盐离子的无电络合物溶液,从而在所述导体的所述表面上形成一个金属磷化物导电膜,以及在惰性或还原气氛中,于至少300℃的温度下,对所述衬底退火至少2小时,从而在所述导体与所述金属磷化物导电膜之间得到优异的粘附性。
根据本发明的上述方法,其特征在于,略去所述再次浸入步骤。
根据本发明的上述方法,其特征在于,所述无电淀积步骤包括下列步骤:首先将所述衬底浸入金属离子溶液,从而在所述导体的表面上形成一个金属纳米粒子层,然后将所述衬底再次浸入金属离子和二甲氨基硼烷的无电络合物溶液,从而在所述导体的所述表面上形成一个金属-硼的导电膜,以及在惰性或还原气氛中,于至少300℃的温度下,对所述衬底退火至少2小时,从而在所述导体与所述金属硼导电膜之间得到优异的粘附性。
根据本发明的上述方法,其特征在于,所述导电膜选自CoB、CoSnB、CoWB和NiB构成的组。
根据本发明的上述方法,其特征在于,用化学汽相淀积、物理汽相淀积、蒸发、溅射、以及金属热互扩散的物理方法,将所述导电膜涂敷在所述导体的表面上。
根据本发明的上述方法,其特征在于,所述导电膜选自Pd、In、W、及其混合物构成的组。
此外,本发明还提供一种结构,它包含:衬底上的图形化导体,只位于所述导体表面上的导电膜,所述导电膜的厚度为1-20nm,形成金属对金属的金属键,所述导电膜选自CoWP、CoSnP、CoP、Pd、CoB、CoSnB、CoWB、In和W构成的组,从而防止所述导体的所述上表面被氧化和腐蚀。
根据本发明的上述结构,其特征在于,所述导电膜选自CoWP、CoSnP、CoP、Pd、CoB、CoSnB、CoWB、In、NiB和W构成的组,从而防止所述导体的所述上表面被氧化和腐蚀。
根据本发明的上述结构,其特征在于,所述导电膜的厚度为1-10nm。
根据本发明的上述结构,还包括所述导体的所述导电膜上的绝缘介质覆盖层。
根据本发明的上述结构,其特征在于,所述绝缘介质覆盖层选自氮化硅、氧化硅、介电常数小于3.2的SiCOH的绝缘化合物、类金刚石碳、氟化类金刚石碳、和聚亚芳基醚构成的组。
根据本发明的上述结构,其特征在于,所述导体选自铜和铜合金构成的组。
根据本发明的上述结构,其特征在于,所述衬底选自硅、硅锗、绝缘体上硅、和砷化镓构成的组。
附图说明
当结合附图考虑本发明的下列详细描述时,本发明的这些和其它的特点、目的、和优点将变得明显,其中:
图1是本发明一个实施方案的剖面图。
图2A-2D是本发明第二实施方案的各个制造阶段的剖面图。
图3A-3E是第三实施方案的各个制造阶段的剖面图。
图4是三层电迁移测试结构的剖面图。
图5曲线示出了顶部表面上具有和不具有金属薄膜的介质层沟槽中的铜导体和衬里的欧姆电阻对时间的关系。
具体实施方式
现参照附图,图1是含有用单镶嵌工艺制作的铜线和通孔的集成电路芯片10上的铜后端线(BEOL)结构例子的剖面图。
在图1中,集成电路芯片10具有衬底11,可以是例如Si、SiGe、Ge、SOI和GaAs。衬底11中可以制作典型FET晶体管19的源区16和漏区17以及栅18。在源区16和漏区17的二侧是衬底11上凹陷的氧化物区21和22。氧化物层23被制作在氧化物区21和22以及FET晶体管19上。在层23中形成源接触窗口,并用金属25通常是钨填充。层23和金属25被化学机械抛光(CMP)整平。例如由氮化硅组成的覆盖层26被制作在层23的上表面上。介质层27被制作在层26上,并在其中形成沟槽。衬里28被形成在沟槽的底部和侧壁上,然后用铜填充以形成线条29。用CMP对层27和线条29进行整平。在层27和线条29的上表面上制作覆盖层31。在覆盖层31上形成介质层32。在层31和32中形成通孔窗口,随之以在窗口中形成金属衬里33和诸如铜的金属以形成通孔34。用CMP方法整平层32和通孔34。在层32和通孔34上制作覆盖层36。在覆盖层36上制作介质层37。在层37和覆盖层36中形成沟槽或窗口。在层37和覆盖层36的沟槽中形成衬里38。用金属铜填充沟槽以形成线条39。用CMP方法整平层37和线条39。在层37和线条39上制作覆盖层42。覆盖层26、31和36是由不同于层23、27、32和37的介质组成的,可以是二氧化硅、类金刚石碳(DLC)、氟化DLC、聚亚芳基醚、SiCOH等。在1998年6月29日提出的No.09/107567中,描述了SiCOH,此处被列为参考来显示低k(介电常数)介质的一个例子。注意,BEOL是用单镶嵌铜工艺制造的,亦即,各个铜层被单独地加工,且线条31和39的顶部与介质8形成界面。
图2A-2D示出了用来制造单镶嵌铜线条的工艺顺序,说明了用无电镀方法选择性淀积形成金属覆盖层。在此工艺顺序中,如图2A所示,淀积介质层55-57和58。如图2B所示,用光刻工艺将图形转移到介质层56和57中。然后淀积衬里金属59,随之以淀积厚度大于图形深度的铜导体60。如图2C所示,用CMP清除过量的铜导体60和衬里59,以形成平整的表面。如图2D所示,薄的无电金属涂层63被选择性地淀积到铜导体60上。图2A-2D所示的工艺可以对下一个铜导体互连层重复。
图3是双重镶嵌工艺的工艺顺序,其中的铜线条和通孔被同时淀积。从图3A所示的平整的介质层65-67开始,通孔71的图形通过图3B所示的介质层67被转移,线条或通孔图形然后如图3C所示被转移到层67中,其间通孔71的通孔腐蚀继续通过介质层66和67直到下面先前形成的铜线(未示出)。作为变通,线条70的图形窗口可以首先通过介质层67被转移,然后可以将通孔图形转移到层67中以提供图3C所示的窗口。然后淀积衬里金属69,通常用电镀方法将铜淀积到二个图形中,并用CMP进行整平,以提供图3D所示的线条70和通孔71的结构。然后如图3E所示,在线条70的顶部表面上形成无电金属涂层74。结构继续到下一层时,要求重复图3E所示的制作平整介质层66’和67’的步骤。无电涂层74现在将线条70的铜表面分隔于制作在其上的平整介质66’。层23、27、32和37所用的材料可以被用于图2A-2D中的层55和57以及图3A-3E中的层65和67。层26、31、36和42所用的材料可以被用于图2A-2D中的层56以及图3A-3E中的层66。
在图2和3所示的单镶嵌工艺和双重镶嵌工艺二者中,借助于用金属层59、63、69和74整个包围铜导体,提供了可靠性方面的至关重要的改善。目前主要厂家的铜BEOL工艺仅仅提供图1所示的由衬里38形成的三面覆盖,而导体39的第四表面即顶部表面被示为与介质接触,此介质通常是覆盖介质层42,其界面是铜原子输运和原子空洞的根源。
图4所示的测试结构75被用于电迁移寿命测量。图4示出了具有SiNx/SiO2介质的三层镶嵌互连的剖面图。介质层67具有通过W通孔77连接到衬里69下表面和铜导体70第一端的下W线条76。铜导体70在三个表面上具有衬里69,且宽度为0.28μm。铜导体70被电镀。铜导体70的第二端通过衬里79被连接到铜导体80的下表面。电子流从W线条76流到铜导体80。用CMP整平铜导体70和介质层67的上表面。然后在铜导体70的上表面上制作金属膜74。氮化硅组成的介质层66’被制作在层67和铜导体70上。介质层67’被制作在层66’上。在介质层67’中形成沟槽和通孔,并在沟槽和通孔中制作衬里,随之以用铜导体80进行填充。用CMP对层67’和铜导体80进行整平。铜导体80被选择成宽而短,以防止铜导体80中的电迁移损伤。氮化硅组成的层82被制作在层67’和铜导体80上。介质层84被制作在层82上。具有各种各样无电淀积的金属涂层74的以及图1所示的无涂层的测试结构75,在294℃和25mA/mm2下被测量电迁移。
图5示出了在294℃下的电迁移测试,说明了三面具有金属衬里69并在铜导体70的顶部表面上涂敷有金属层74的铜线的优异的寿命。在图5中,纵坐标表示R/R0,而横坐标表示时间,单位为小时。注意,作为监视着迁移速率的时间的函数的电阻变化,在图5中未曾达到1.2的正常失效水平,表示甚至在未被涂敷的铜线的20倍失效时间之后,被涂敷的样品的电阻改变20%。图5示出了金属涂层74明显地改善了电迁移寿命。测试结构75中的铜导体70的电阻变化被监测为跟踪正在发生的铜原子迁移的程度的手段,电阻随时间改变得越多,铜原子输运就越多,且铜导体寿命就越短。如图5所示,在曲线91-94所示的未被涂敷的样品中,电阻急剧地增大,而在所有被金属膜74涂敷的样品中,如曲线97-99所示,电阻变化很慢。在此测试中,正常寿命被定义为相对于其原来电阻R0变化20%,且直至至少2200小时之后仍然没有达到,而顶部表面无涂层的铜线在100小时之内就失效。发现曲线97所示的采用CoWP涂层74的变化速率最低,但曲线98所示的CoSnP以及曲线99所示的Pd的所有涂层74同样提供长的寿命。可望此改善外推到使用温度100℃会给出二个数量级以上的电迁移和应力迁移寿命增加,这意味着电路设计者有极大的灵活性,并有效地消除了作为使用电流限制因素的电迁移。还发现,在标准的未被涂敷的样品中产生空洞的热循环条件下,在同一组被涂敷的样品中未观察到原子应力空洞。这一测试结果进一步支持了未被介质涂敷的铜的表面或界面是电迁移因而是可靠性退化的主要根源的假说。
虽然已经描述了借助于在铜导体上表面上形成具有金属对金属的化学键合和金属键合的导电膜来改善铜导体中的抗电迁移性和降低应力引起的迁移的结构和方法,但对于本技术的熟练人员来说,显然可以作出各种修正和变化而不偏离仅仅被所附权利要求的范围所限制的本发明的宽广范围。
Claims (27)
1.具有高抗电迁移性的导体的制作方法,它包含下列步骤:在衬底上制作介质层,在所述介质层中制作至少一个沟槽,在所述沟槽中形成金属衬里,在所述金属衬里上形成导体来填充所述沟槽,形成与所述介质层上表面共平面的所述导体的被整平的上表面,以及只在所述导体的所述上表面上形成厚度为1-20nm的导电膜以形成金属对金属的金属键,所述导电膜选自CoWP、CoSnP、CoP、Pd、CoB、CoSnB、CoWB、NiB构成的组,从而防止所述导体的所述上表面被氧化和腐蚀。
2.权利要求1的方法,其特征在于,所述形成导电膜的步骤包括用无电淀积方法制作所述导电膜的步骤,从而所述导体的所述上表面被防止了氧化和腐蚀,并提供了高的抗电迁移性和高的抗热应力空洞性。
3.权利要求2的方法,其特征在于,所述无电淀积膜的厚度为1-10nm。
4.权利要求2的方法,其特征在于,所述无电淀积步骤包括下列步骤:
首先将所述衬底浸入金属离子溶液,从而在所述导体的所述上表面上形成一个金属纳米粒子层,
然后将所述衬底再次浸入金属离子和次磷酸盐离子的无电络合物溶液,从而在所述导体的所述上表面上形成一个金属磷化物导电膜,以及
在惰性或还原气氛中,于至少300℃的温度下,对所述衬底退火至少2小时,从而在所述导体与所述金属磷化物导电膜之间得到优异的粘附性。
5.权利要求4的方法,其特征在于,略去所述再次浸入步骤。
6.权利要求2的方法,其特征在于,所述无电淀积步骤包括下列步骤:
首先将所述衬底浸入金属离子溶液,从而在所述导体的表面上形成一个金属纳米粒子层,
然后将所述衬底再次浸入金属离子和二甲氨基硼烷的无电络合物溶液,从而在所述导体的所述上表面上形成一个金属-硼的导电膜层,以及
在惰性或还原气氛中,于至少300℃的温度下,对所述衬底退火至少2小时,从而在所述导体与所述金属硼导电膜之间得到优异的粘附性。
7.权利要求6的方法,其特征在于,所述导电膜选自CoB、CoSnB、CoWB和NiB构成的组。
8.权利要求1的方法,其特征在于,用化学汽相淀积、物理汽相淀积、蒸发、溅射、以及金属热互扩散的物理方法,将所述导电膜涂敷在所述导体的表面上。
9.一种结构,它包含:
衬底上的介质层,
制作在所述衬底上的所述介质中的至少一个沟槽,
制作在所述沟槽中的金属衬里,
填充所述沟槽的所述衬里上的导体,所述导体选自铜和铜合金构成的组,
具有所述介质层的上表面的所述导体的被整平了的上表面,以及
只位于所述导体所述上表面上的导电膜,所述导电膜的厚度为1-20nm,形成金属对金属的化学键和金属键,所述导电膜选自CoWP、CoSnP、CoP、Pd、CoB、CoSnB、CoWB、NiB构成的组,从而防止所述导体的所述上表面被氧化和腐蚀。
10.权利要求9的结构,其特征在于,所述导电膜的厚度为1-10nm。
11.权利要求9的结构,还包括所述导体和所述介质层上所述导电膜上的绝缘介质覆盖层。
12.权利要求11的结构,其特征在于,所述绝缘介质覆盖层选自氮化硅、氧化硅、介电常数小于3.2的SiCOH的绝缘化合物、类金刚石碳、氟化类金刚石碳、和聚亚芳基醚构成的组。
13.权利要求9的结构,其特征在于,所述衬底选自硅、硅锗、绝缘体上硅、和砷化镓构成的组。
14.具有高抗电迁移性的导体的制作方法,它包含下列步骤:
在衬底上形成图形化的导体,
只在所述导体的所述表面上形成厚度为1-20nm的导电膜以形成金属对金属的金属键,所述导电膜选自CoWP、CoSnP、CoP、Pd、CoB、CoSnB、CoWB、NiB构成的组,从而防止所述导体的所述上表面被氧化和腐蚀。
15.权利要求14的方法,其特征在于,所述形成导电膜的步骤包括用无电淀积方法制作所述导电膜的步骤,从而所述导体的所述表面被防止了氧化和腐蚀,并提供了高的抗电迁移性和高的抗热应力空洞性。
16.权利要求15的方法,其特征在于,所述无电淀积膜的厚度为1-10nm。
17.权利要求15的方法,其特征在于,所述无电淀积步骤包括下列步骤:
首先将所述衬底浸入金属离子溶液,从而在所述导体的所述表面上形成一个金属纳米粒子层,
然后将所述衬底再次浸入金属离子和次磷酸盐离子的无电络合物溶液,从而在所述导体的所述表面上形成一个金属磷化物导电膜,以及
在惰性或还原气氛中,于至少300℃的温度下,对所述衬底退火至少2小时,从而在所述导体与所述金属磷化物导电膜之间得到优异的粘附性。
18.权利要求17的方法,其特征在于,略去所述再次浸入步骤。
19.权利要求15的方法,其特征在于,所述无电淀积步骤包括下列步骤:
首先将所述衬底浸入金属离子溶液,从而在所述导体的表面上形成一个金属纳米粒子层,
然后将所述衬底再次浸入金属离子和二甲氨基硼烷的无电络合物溶液,从而在所述导体的所述表面上形成一个金属-硼的导电膜,以及
在惰性或还原气氛中,于至少300℃的温度下,对所述衬底退火至少2小时,从而在所述导体与所述金属硼导电膜之间得到优异的粘附性。
20.权利要求19的方法,其特征在于,所述导电膜选自CoB、CoSnB、CoWB和NiB构成的组。
21.权利要求14的方法,其特征在于,用化学汽相淀积、物理汽相淀积、蒸发、溅射、以及金属热互扩散的物理方法,将所述导电膜涂敷在所述导体的表面上。
22.一种结构,它包含:
衬底上的图形化导体,
只位于所述导体表面上的导电膜,所述导电膜的厚度为1-20nm,形成金属对金属的金属键,
所述导电膜选自CoWP、CoSnP、CoP、Pd、CoB、CoSnB、CoWB、NiB构成的组,从而防止所述导体的所述上表面被氧化和腐蚀。
23.权利要求22的结构,其特征在于,所述导电膜的厚度为1-10nm。
24.权利要求22的结构,还包括所述导体的所述导电膜上的绝缘介质覆盖层。
25.权利要求24的结构,其特征在于,所述绝缘介质覆盖层选自氮化硅、氧化硅、介电常数小于3.2的SiCOH的绝缘化合物、类金刚石碳、氟化类金刚石碳、和聚亚芳基醚构成的组。
26.权利要求22的结构,其特征在于,所述导体选自铜和铜合金构成的组。
27.权利要求22的结构,其特征在于,所述衬底选自硅、硅锗、绝缘体上硅、和砷化镓构成的组。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/361,573 | 1999-07-27 | ||
US09/361,573 US6342733B1 (en) | 1999-07-27 | 1999-07-27 | Reduced electromigration and stressed induced migration of Cu wires by surface coating |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1364311A CN1364311A (zh) | 2002-08-14 |
CN1191621C true CN1191621C (zh) | 2005-03-02 |
Family
ID=23422581
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB008108315A Expired - Fee Related CN1191621C (zh) | 1999-07-27 | 2000-07-27 | 具有高抗电迁移的导体的制作方法及其结构 |
Country Status (7)
Country | Link |
---|---|
US (4) | US6342733B1 (zh) |
EP (1) | EP1210732A1 (zh) |
JP (1) | JP2003505882A (zh) |
KR (1) | KR100496711B1 (zh) |
CN (1) | CN1191621C (zh) |
TW (1) | TW483137B (zh) |
WO (1) | WO2001008213A1 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2012024918A1 (zh) * | 2010-08-26 | 2012-03-01 | 中国科学院微电子研究所 | 一种半导体结构及其制造方法 |
Families Citing this family (327)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7338908B1 (en) | 2003-10-20 | 2008-03-04 | Novellus Systems, Inc. | Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage |
JP3708732B2 (ja) * | 1998-12-25 | 2005-10-19 | Necエレクトロニクス株式会社 | 半導体装置の製造方法 |
US7105434B2 (en) | 1999-10-02 | 2006-09-12 | Uri Cohen | Advanced seed layery for metallic interconnects |
TW476134B (en) * | 2000-02-22 | 2002-02-11 | Ibm | Method for forming dual-layer low dielectric barrier for interconnects and device formed |
JP3979791B2 (ja) | 2000-03-08 | 2007-09-19 | 株式会社ルネサステクノロジ | 半導体装置およびその製造方法 |
US20020081842A1 (en) * | 2000-04-14 | 2002-06-27 | Sambucetti Carlos J. | Electroless metal liner formation methods |
WO2002029892A2 (en) * | 2000-10-03 | 2002-04-11 | Broadcom Corporation | High-density metal capacitor using dual-damascene copper interconnect |
US6977224B2 (en) * | 2000-12-28 | 2005-12-20 | Intel Corporation | Method of electroless introduction of interconnect structures |
JP2003049280A (ja) * | 2001-06-01 | 2003-02-21 | Ebara Corp | 無電解めっき液及び半導体装置 |
US6469385B1 (en) * | 2001-06-04 | 2002-10-22 | Advanced Micro Devices, Inc. | Integrated circuit with dielectric diffusion barrier layer formed between interconnects and interlayer dielectric layers |
JP2002367998A (ja) * | 2001-06-11 | 2002-12-20 | Ebara Corp | 半導体装置及びその製造方法 |
JP4891018B2 (ja) * | 2001-08-07 | 2012-03-07 | ルネサスエレクトロニクス株式会社 | 半導体集積回路装置の製造方法 |
JP4309608B2 (ja) * | 2001-09-12 | 2009-08-05 | 株式会社東芝 | 半導体装置及びその製造方法 |
US6670717B2 (en) * | 2001-10-15 | 2003-12-30 | International Business Machines Corporation | Structure and method for charge sensitive electrical devices |
US20040107783A1 (en) * | 2002-03-05 | 2004-06-10 | Musa Christine P. | Sample collection arrangement operative in environments of restricted access |
US6899816B2 (en) * | 2002-04-03 | 2005-05-31 | Applied Materials, Inc. | Electroless deposition method |
US20030190426A1 (en) * | 2002-04-03 | 2003-10-09 | Deenesh Padhi | Electroless deposition method |
US6905622B2 (en) * | 2002-04-03 | 2005-06-14 | Applied Materials, Inc. | Electroless deposition method |
US20030207206A1 (en) * | 2002-04-22 | 2003-11-06 | General Electric Company | Limited play data storage media and method for limiting access to data thereon |
US7008872B2 (en) * | 2002-05-03 | 2006-03-07 | Intel Corporation | Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures |
KR100474857B1 (ko) * | 2002-06-29 | 2005-03-10 | 매그나칩 반도체 유한회사 | 반도체 소자의 구리 배선 형성방법 |
JP3655901B2 (ja) * | 2002-08-19 | 2005-06-02 | 株式会社東芝 | 半導体装置の製造方法 |
US6821909B2 (en) * | 2002-10-30 | 2004-11-23 | Applied Materials, Inc. | Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application |
US7148079B1 (en) * | 2002-11-01 | 2006-12-12 | Advanced Micro Devices, Inc. | Diamond like carbon silicon on insulator substrates and methods of fabrication thereof |
US7825516B2 (en) * | 2002-12-11 | 2010-11-02 | International Business Machines Corporation | Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures |
US7060619B2 (en) * | 2003-03-04 | 2006-06-13 | Infineon Technologies Ag | Reduction of the shear stress in copper via's in organic interlayer dielectric material |
TWI312370B (en) * | 2003-04-18 | 2009-07-21 | Nat Tsing Hua Universit | Conduction wires of electromigration effect-insignificant alloys and the designing method thereof |
WO2004099467A1 (de) | 2003-05-09 | 2004-11-18 | Basf Aktiengesellschaft | Zusammensetzungen zur stromlosen abscheidung ternärer materialien für die halbleiterindustrie |
US6955984B2 (en) * | 2003-05-16 | 2005-10-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Surface treatment of metal interconnect lines |
JP2005002448A (ja) * | 2003-06-13 | 2005-01-06 | Tokyo Electron Ltd | 無電解メッキ装置および無電解メッキ方法 |
US7087104B2 (en) | 2003-06-26 | 2006-08-08 | Intel Corporation | Preparation of electroless deposition solutions |
JP2005048209A (ja) * | 2003-07-30 | 2005-02-24 | Hitachi Ltd | 無電解メッキ方法、無電解メッキ装置、半導体装置の製造方法及びその製造装置 |
DE10339990B8 (de) * | 2003-08-29 | 2013-01-31 | Advanced Micro Devices, Inc. | Verfahren zur Herstellung einer Metallleitung mit einer erhöhten Widerstandsfähigkeit gegen Elektromigration entlang einer Grenzfläche einer dielektrischen Barrierenschicht mittels Implantieren von Material in die Metalleitung |
US6835578B1 (en) | 2003-09-26 | 2004-12-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Test structure for differentiating the line and via contribution in stress migration |
DE10345453B4 (de) * | 2003-09-30 | 2009-08-20 | Infineon Technologies Ag | Verfahren zum Herstellen eines optischen Sensors mit einer integrierten Schichtstapel-Anordnung |
US7827930B2 (en) * | 2004-01-26 | 2010-11-09 | Applied Materials, Inc. | Apparatus for electroless deposition of metals onto semiconductor substrates |
US7654221B2 (en) * | 2003-10-06 | 2010-02-02 | Applied Materials, Inc. | Apparatus for electroless deposition of metals onto semiconductor substrates |
US20070111519A1 (en) * | 2003-10-15 | 2007-05-17 | Applied Materials, Inc. | Integrated electroless deposition system |
US7465358B2 (en) * | 2003-10-15 | 2008-12-16 | Applied Materials, Inc. | Measurement techniques for controlling aspects of a electroless deposition process |
US7064065B2 (en) * | 2003-10-15 | 2006-06-20 | Applied Materials, Inc. | Silver under-layers for electroless cobalt alloys |
TW200530427A (en) * | 2003-10-17 | 2005-09-16 | Applied Materials Inc | Selective self-initiating electroless capping of copper with cobalt-containing alloys |
US20050095830A1 (en) * | 2003-10-17 | 2005-05-05 | Applied Materials, Inc. | Selective self-initiating electroless capping of copper with cobalt-containing alloys |
US8530359B2 (en) * | 2003-10-20 | 2013-09-10 | Novellus Systems, Inc. | Modulated metal removal using localized wet etching |
US7531463B2 (en) * | 2003-10-20 | 2009-05-12 | Novellus Systems, Inc. | Fabrication of semiconductor interconnect structure |
US8158532B2 (en) * | 2003-10-20 | 2012-04-17 | Novellus Systems, Inc. | Topography reduction and control by selective accelerator removal |
US7972970B2 (en) | 2003-10-20 | 2011-07-05 | Novellus Systems, Inc. | Fabrication of semiconductor interconnect structure |
US8372757B2 (en) | 2003-10-20 | 2013-02-12 | Novellus Systems, Inc. | Wet etching methods for copper removal and planarization in semiconductor processing |
US7229922B2 (en) * | 2003-10-27 | 2007-06-12 | Intel Corporation | Method for making a semiconductor device having increased conductive material reliability |
US7205233B2 (en) * | 2003-11-07 | 2007-04-17 | Applied Materials, Inc. | Method for forming CoWRe alloys by electroless deposition |
US7193323B2 (en) * | 2003-11-18 | 2007-03-20 | International Business Machines Corporation | Electroplated CoWP composite structures as copper barrier layers |
US20060003570A1 (en) * | 2003-12-02 | 2006-01-05 | Arulkumar Shanmugasundram | Method and apparatus for electroless capping with vapor drying |
US20050170650A1 (en) * | 2004-01-26 | 2005-08-04 | Hongbin Fang | Electroless palladium nitrate activation prior to cobalt-alloy deposition |
US20060033678A1 (en) * | 2004-01-26 | 2006-02-16 | Applied Materials, Inc. | Integrated electroless deposition system |
US7256111B2 (en) * | 2004-01-26 | 2007-08-14 | Applied Materials, Inc. | Pretreatment for electroless deposition |
US20050181226A1 (en) * | 2004-01-26 | 2005-08-18 | Applied Materials, Inc. | Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber |
US20050161338A1 (en) * | 2004-01-26 | 2005-07-28 | Applied Materials, Inc. | Electroless cobalt alloy deposition process |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US20050253268A1 (en) * | 2004-04-22 | 2005-11-17 | Shao-Ta Hsu | Method and structure for improving adhesion between intermetal dielectric layer and cap layer |
KR101016341B1 (ko) | 2004-04-30 | 2011-02-22 | 매그나칩 반도체 유한회사 | 반도체 소자의 인덕터 제조 방법 |
US7749881B2 (en) * | 2005-05-18 | 2010-07-06 | Intermolecular, Inc. | Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region |
US8084400B2 (en) * | 2005-10-11 | 2011-12-27 | Intermolecular, Inc. | Methods for discretized processing and process sequence integration of regions of a substrate |
US8882914B2 (en) * | 2004-09-17 | 2014-11-11 | Intermolecular, Inc. | Processing substrates using site-isolated processing |
US20060060301A1 (en) * | 2004-09-17 | 2006-03-23 | Lazovsky David E | Substrate processing using molecular self-assembly |
US7390739B2 (en) * | 2005-05-18 | 2008-06-24 | Lazovsky David E | Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region |
US20060292846A1 (en) * | 2004-09-17 | 2006-12-28 | Pinto Gustavo A | Material management in substrate processing |
US7223691B2 (en) * | 2004-10-14 | 2007-05-29 | International Business Machines Corporation | Method of forming low resistance and reliable via in inter-level dielectric interconnect |
US7227266B2 (en) * | 2004-11-09 | 2007-06-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure to reduce stress induced voiding effect |
US7309658B2 (en) * | 2004-11-22 | 2007-12-18 | Intermolecular, Inc. | Molecular self-assembly in substrate processing |
US7879710B2 (en) * | 2005-05-18 | 2011-02-01 | Intermolecular, Inc. | Substrate processing including a masking layer |
JP4963349B2 (ja) | 2005-01-14 | 2012-06-27 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US20060162658A1 (en) * | 2005-01-27 | 2006-07-27 | Applied Materials, Inc. | Ruthenium layer deposition apparatus and method |
US7438949B2 (en) * | 2005-01-27 | 2008-10-21 | Applied Materials, Inc. | Ruthenium containing layer deposition method |
US20060240187A1 (en) * | 2005-01-27 | 2006-10-26 | Applied Materials, Inc. | Deposition of an intermediate catalytic layer on a barrier layer for copper metallization |
DE102005009073B4 (de) * | 2005-02-28 | 2009-09-10 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Nachbehandlung einer Halbleiterstruktur |
US7422979B2 (en) * | 2005-03-11 | 2008-09-09 | Freescale Semiconductor, Inc. | Method of forming a semiconductor device having a diffusion barrier stack and structure thereof |
US7651934B2 (en) | 2005-03-18 | 2010-01-26 | Applied Materials, Inc. | Process for electroless copper deposition |
US20060246699A1 (en) * | 2005-03-18 | 2006-11-02 | Weidman Timothy W | Process for electroless copper deposition on a ruthenium seed |
US20060246217A1 (en) * | 2005-03-18 | 2006-11-02 | Weidman Timothy W | Electroless deposition process on a silicide contact |
WO2006102180A2 (en) * | 2005-03-18 | 2006-09-28 | Applied Materials, Inc. | Contact metallization methods and processes |
US7335588B2 (en) | 2005-04-15 | 2008-02-26 | International Business Machines Corporation | Interconnect structure and method of fabrication of same |
US7317253B2 (en) * | 2005-04-25 | 2008-01-08 | Sony Corporation | Cobalt tungsten phosphate used to fill voids arising in a copper metallization process |
US20060261436A1 (en) * | 2005-05-19 | 2006-11-23 | Freescale Semiconductor, Inc. | Electronic device including a trench field isolation region and a process for forming the same |
US7935631B2 (en) | 2005-07-04 | 2011-05-03 | Freescale Semiconductor, Inc. | Method of forming a continuous layer of a first metal selectively on a second metal and an integrated circuit formed from the method |
US7563704B2 (en) * | 2005-09-19 | 2009-07-21 | International Business Machines Corporation | Method of forming an interconnect including a dielectric cap having a tensile stress |
WO2007035880A2 (en) * | 2005-09-21 | 2007-03-29 | Applied Materials, Inc. | Method and apparatus for forming device features in an integrated electroless deposition system |
US8776717B2 (en) * | 2005-10-11 | 2014-07-15 | Intermolecular, Inc. | Systems for discretized processing of regions of a substrate |
US7902063B2 (en) * | 2005-10-11 | 2011-03-08 | Intermolecular, Inc. | Methods for discretized formation of masking and capping layers on a substrate |
US7955436B2 (en) * | 2006-02-24 | 2011-06-07 | Intermolecular, Inc. | Systems and methods for sealing in site-isolated reactors |
US7605082B1 (en) | 2005-10-13 | 2009-10-20 | Novellus Systems, Inc. | Capping before barrier-removal IC fabrication method |
US7301236B2 (en) * | 2005-10-18 | 2007-11-27 | International Business Machines Corporation | Increasing electromigration lifetime and current density in IC using vertically upwardly extending dummy via |
US20070099422A1 (en) * | 2005-10-28 | 2007-05-03 | Kapila Wijekoon | Process for electroless copper deposition |
US20070099806A1 (en) * | 2005-10-28 | 2007-05-03 | Stewart Michael P | Composition and method for selectively removing native oxide from silicon-containing surfaces |
JP2007134425A (ja) * | 2005-11-09 | 2007-05-31 | Sony Corp | 半導体装置および半導体装置の製造方法 |
US7253100B2 (en) * | 2005-11-17 | 2007-08-07 | International Business Machines Corporation | Reducing damage to ulk dielectric during cross-linked polymer removal |
CN1983550A (zh) * | 2005-12-14 | 2007-06-20 | 中芯国际集成电路制造(上海)有限公司 | 提高可靠性和成品率的消除铜位错的方法 |
US7863183B2 (en) * | 2006-01-18 | 2011-01-04 | International Business Machines Corporation | Method for fabricating last level copper-to-C4 connection with interfacial cap structure |
US8772772B2 (en) * | 2006-05-18 | 2014-07-08 | Intermolecular, Inc. | System and method for increasing productivity of combinatorial screening |
WO2007095194A2 (en) * | 2006-02-10 | 2007-08-23 | Intermolecular, Inc. | Method and apparatus for combinatorially varying materials, unit process and process sequence |
US20070222076A1 (en) * | 2006-03-21 | 2007-09-27 | Masanaga Fukasawa | Single or dual damascene structure reducing or eliminating the formation of micro-trenches arising from lithographic misalignment |
US7491622B2 (en) * | 2006-04-24 | 2009-02-17 | Freescale Semiconductor, Inc. | Process of forming an electronic device including a layer formed using an inductively coupled plasma |
US7670895B2 (en) | 2006-04-24 | 2010-03-02 | Freescale Semiconductor, Inc | Process of forming an electronic device including a semiconductor layer and another layer adjacent to an opening within the semiconductor layer |
US20070249127A1 (en) * | 2006-04-24 | 2007-10-25 | Freescale Semiconductor, Inc. | Electronic device including a semiconductor layer and a sidewall spacer and a process of forming the same |
US7528078B2 (en) | 2006-05-12 | 2009-05-05 | Freescale Semiconductor, Inc. | Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer |
US8193087B2 (en) * | 2006-05-18 | 2012-06-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Process for improving copper line cap formation |
US8278216B1 (en) | 2006-08-18 | 2012-10-02 | Novellus Systems, Inc. | Selective capping of copper |
JP4757740B2 (ja) * | 2006-08-21 | 2011-08-24 | 富士通株式会社 | 半導体装置 |
US7569475B2 (en) * | 2006-11-15 | 2009-08-04 | International Business Machines Corporation | Interconnect structure having enhanced electromigration reliability and a method of fabricating same |
US7666781B2 (en) * | 2006-11-22 | 2010-02-23 | International Business Machines Corporation | Interconnect structures with improved electromigration resistance and methods for forming such interconnect structures |
US7576003B2 (en) * | 2006-11-29 | 2009-08-18 | International Business Machines Corporation | Dual liner capping layer interconnect structure and method |
JP5154140B2 (ja) * | 2006-12-28 | 2013-02-27 | 東京エレクトロン株式会社 | 半導体装置およびその製造方法 |
US8011317B2 (en) * | 2006-12-29 | 2011-09-06 | Intermolecular, Inc. | Advanced mixing system for integrated tool having site-isolated reactors |
KR100881621B1 (ko) * | 2007-01-12 | 2009-02-04 | 삼성전자주식회사 | 반도체 장치 및 그 형성방법 |
US7859113B2 (en) * | 2007-02-27 | 2010-12-28 | International Business Machines Corporation | Structure including via having refractory metal collar at copper wire and dielectric layer liner-less interface and related method |
US7667328B2 (en) | 2007-02-28 | 2010-02-23 | International Business Machines Corporation | Integration circuits for reducing electromigration effect |
US7790599B2 (en) * | 2007-04-13 | 2010-09-07 | International Business Machines Corporation | Metal cap for interconnect structures |
US20080265416A1 (en) * | 2007-04-27 | 2008-10-30 | Shen-Nan Lee | Metal line formation using advaced CMP slurry |
US7851288B2 (en) * | 2007-06-08 | 2010-12-14 | International Business Machines Corporation | Field effect transistor using carbon based stress liner |
US7732924B2 (en) | 2007-06-12 | 2010-06-08 | International Business Machines Corporation | Semiconductor wiring structures including dielectric cap within metal cap layer |
US8138604B2 (en) | 2007-06-21 | 2012-03-20 | International Business Machines Corporation | Metal cap with ultra-low k dielectric material for circuit interconnect applications |
US7884018B2 (en) * | 2007-06-21 | 2011-02-08 | International Business Machines Corporation | Method for improving the selectivity of a CVD process |
US20090004851A1 (en) * | 2007-06-29 | 2009-01-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Salicidation process using electroless plating to deposit metal and introduce dopant impurities |
US8039379B1 (en) | 2007-07-02 | 2011-10-18 | Novellus Systems, Inc. | Nanoparticle cap layer |
US7994640B1 (en) * | 2007-07-02 | 2011-08-09 | Novellus Systems, Inc. | Nanoparticle cap layer |
KR100884986B1 (ko) | 2007-07-26 | 2009-02-23 | 주식회사 동부하이텍 | 반도체 소자와 그의 제조방법 |
US7960036B2 (en) | 2007-07-31 | 2011-06-14 | International Business Machines Corporation | Semiconductor structure and method of manufacturing same |
US7867900B2 (en) * | 2007-09-28 | 2011-01-11 | Applied Materials, Inc. | Aluminum contact integration on cobalt silicide junction |
US7861204B2 (en) * | 2007-12-20 | 2010-12-28 | International Business Machines Corporation | Structures including integrated circuits for reducing electromigration effect |
US7776740B2 (en) * | 2008-01-22 | 2010-08-17 | Tokyo Electron Limited | Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device |
US7998864B2 (en) | 2008-01-29 | 2011-08-16 | International Business Machines Corporation | Noble metal cap for interconnect structures |
US7834457B2 (en) * | 2008-02-28 | 2010-11-16 | International Business Machines Corporation | Bilayer metal capping layer for interconnect applications |
US8043976B2 (en) * | 2008-03-24 | 2011-10-25 | Air Products And Chemicals, Inc. | Adhesion to copper and copper electromigration resistance |
US7830010B2 (en) | 2008-04-03 | 2010-11-09 | International Business Machines Corporation | Surface treatment for selective metal cap applications |
US8772156B2 (en) * | 2008-05-09 | 2014-07-08 | International Business Machines Corporation | Methods of fabricating interconnect structures containing various capping materials for electrical fuse and other related applications |
US7956466B2 (en) | 2008-05-09 | 2011-06-07 | International Business Machines Corporation | Structure for interconnect structure containing various capping materials for electrical fuse and other related applications |
US8354751B2 (en) * | 2008-06-16 | 2013-01-15 | International Business Machines Corporation | Interconnect structure for electromigration enhancement |
DE102008030849B4 (de) * | 2008-06-30 | 2013-12-19 | Advanced Micro Devices, Inc. | Verfahren zur Reduzierung der Leckströme in dielektrischen Materialien mit Metallgebieten und einer Metalldeckschicht in Halbleiterbauelementen |
US8981427B2 (en) | 2008-07-15 | 2015-03-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Polishing of small composite semiconductor materials |
US7696093B2 (en) * | 2008-08-12 | 2010-04-13 | Advanced Micro Devices, Inc. | Methods for forming copper interconnects for semiconductor devices |
US8013446B2 (en) * | 2008-08-12 | 2011-09-06 | International Business Machines Corporation | Nitrogen-containing metal cap for interconnect structures |
US8232645B2 (en) * | 2008-08-14 | 2012-07-31 | International Business Machines Corporation | Interconnect structures, design structure and method of manufacture |
US8823176B2 (en) | 2008-10-08 | 2014-09-02 | International Business Machines Corporation | Discontinuous/non-uniform metal cap structure and process for interconnect integration |
US8232625B2 (en) * | 2009-03-26 | 2012-07-31 | International Business Machines Corporation | ESD network circuit with a through wafer via structure and a method of manufacture |
US8054597B2 (en) * | 2009-06-23 | 2011-11-08 | International Business Machines Corporation | Electrostatic discharge structures and methods of manufacture |
US8597461B2 (en) * | 2009-09-02 | 2013-12-03 | Novellus Systems, Inc. | Reduced isotropic etchant material consumption and waste generation |
US8039966B2 (en) * | 2009-09-03 | 2011-10-18 | International Business Machines Corporation | Structures of and methods and tools for forming in-situ metallic/dielectric caps for interconnects |
US8211776B2 (en) | 2010-01-05 | 2012-07-03 | International Business Machines Corporation | Integrated circuit line with electromigration barriers |
US8404582B2 (en) | 2010-05-04 | 2013-03-26 | International Business Machines Corporation | Structure and method for manufacturing interconnect structures having self-aligned dielectric caps |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
JP5891771B2 (ja) | 2011-01-18 | 2016-03-23 | 富士通株式会社 | 表面被覆方法、並びに半導体装置、及び実装回路基板 |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8877645B2 (en) | 2011-09-15 | 2014-11-04 | International Business Machines Corporation | Integrated circuit structure having selectively formed metal cap |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
KR101684310B1 (ko) | 2011-11-04 | 2016-12-08 | 인텔 코포레이션 | 자기 정렬 캡의 형성 방법 및 장치 |
US8492274B2 (en) | 2011-11-07 | 2013-07-23 | International Business Machines Corporation | Metal alloy cap integration |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
CN103135819A (zh) * | 2011-11-29 | 2013-06-05 | 迎辉科技股份有限公司 | 具有抗氧化金属层的导电基板 |
KR101407877B1 (ko) * | 2011-12-28 | 2014-06-17 | (주)엘지하우시스 | 전기적 특성이 우수한 투명 도전성 필름 및 이를 이용한 터치 패널 |
US9960110B2 (en) | 2011-12-30 | 2018-05-01 | Intel Corporation | Self-enclosed asymmetric interconnect structures |
CN102544131A (zh) * | 2012-03-12 | 2012-07-04 | 谢振华 | 一种改进的太阳能电池板的电极结构 |
KR102030797B1 (ko) | 2012-03-30 | 2019-11-11 | 삼성디스플레이 주식회사 | 박막 트랜지스터 표시판 제조 방법 |
US9472450B2 (en) | 2012-05-10 | 2016-10-18 | Samsung Electronics Co., Ltd. | Graphene cap for copper interconnect structures |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US8772938B2 (en) | 2012-12-04 | 2014-07-08 | Intel Corporation | Semiconductor interconnect structures |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9312203B2 (en) | 2013-01-02 | 2016-04-12 | Globalfoundries Inc. | Dual damascene structure with liner |
US9076847B2 (en) | 2013-01-18 | 2015-07-07 | International Business Machines Corporation | Selective local metal cap layer formation for improved electromigration behavior |
US9123726B2 (en) | 2013-01-18 | 2015-09-01 | International Business Machines Corporation | Selective local metal cap layer formation for improved electromigration behavior |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8962479B2 (en) | 2013-05-10 | 2015-02-24 | International Business Machines Corporation | Interconnect structures containing nitrided metallic residues |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US8765546B1 (en) | 2013-06-24 | 2014-07-01 | United Microelectronics Corp. | Method for fabricating fin-shaped field-effect transistor |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9929050B2 (en) * | 2013-07-16 | 2018-03-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9558999B2 (en) | 2013-09-12 | 2017-01-31 | Globalfoundries Inc. | Ultra-thin metal wires formed through selective deposition |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9202749B2 (en) | 2014-02-06 | 2015-12-01 | International Business Machines Corporation | Process methods for advanced interconnect patterning |
US9281211B2 (en) | 2014-02-10 | 2016-03-08 | International Business Machines Corporation | Nanoscale interconnect structure |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9899234B2 (en) | 2014-06-30 | 2018-02-20 | Lam Research Corporation | Liner and barrier applications for subtractive metal integration |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10727122B2 (en) | 2014-12-08 | 2020-07-28 | International Business Machines Corporation | Self-aligned via interconnect structures |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9379221B1 (en) | 2015-01-08 | 2016-06-28 | International Business Machines Corporation | Bottom-up metal gate formation on replacement metal gate finFET devices |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9595473B2 (en) | 2015-06-01 | 2017-03-14 | International Business Machines Corporation | Critical dimension shrink through selective metal growth on metal hardmask sidewalls |
US9478508B1 (en) | 2015-06-08 | 2016-10-25 | Raytheon Company | Microwave integrated circuit (MMIC) damascene electrical interconnect for microwave energy transmission |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9748169B1 (en) | 2016-04-04 | 2017-08-29 | International Business Machines Corporation | Treating copper interconnects |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9721889B1 (en) * | 2016-07-26 | 2017-08-01 | Globalfoundries Inc. | Middle of the line (MOL) metal contacts |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
KR102492733B1 (ko) | 2017-09-29 | 2023-01-27 | 삼성디스플레이 주식회사 | 구리 플라즈마 식각 방법 및 디스플레이 패널 제조 방법 |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
KR102521222B1 (ko) * | 2017-11-15 | 2023-04-12 | 삼성전자주식회사 | 반도체 장치 및 이의 제조 방법 |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
KR102586043B1 (ko) | 2018-04-10 | 2023-10-10 | 삼성디스플레이 주식회사 | 유기 발광 표시장치 및 그 제조방법 |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US11424198B2 (en) * | 2020-09-01 | 2022-08-23 | Nanya Technology Corporation | Semiconductor device with graphene layers and method for fabricating the same |
Family Cites Families (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5771519A (en) | 1980-10-22 | 1982-05-04 | Dainippon Printing Co Ltd | Magnetic recording medium |
GB2184288A (en) | 1985-12-16 | 1987-06-17 | Nat Semiconductor Corp | Oxidation inhibition of copper bonding pads using palladium |
US5169680A (en) * | 1987-05-07 | 1992-12-08 | Intel Corporation | Electroless deposition for IC fabrication |
GB8922126D0 (en) * | 1989-10-02 | 1989-11-15 | Normalair Garrett Ltd | Oxygen monitoring method and apparatus |
US5151168A (en) * | 1990-09-24 | 1992-09-29 | Micron Technology, Inc. | Process for metallizing integrated circuits with electrolytically-deposited copper |
JP3371967B2 (ja) * | 1991-03-08 | 2003-01-27 | ソニー株式会社 | 配線形成方法 |
US5627345A (en) | 1991-10-24 | 1997-05-06 | Kawasaki Steel Corporation | Multilevel interconnect structure |
JP2875680B2 (ja) * | 1992-03-17 | 1999-03-31 | 株式会社東芝 | 基材表面の微小孔又は微細凹みの充填又は被覆方法 |
JPH066012A (ja) | 1992-06-16 | 1994-01-14 | Ebara Corp | 電気回路の被覆構造 |
US5739579A (en) * | 1992-06-29 | 1998-04-14 | Intel Corporation | Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections |
US5681779A (en) | 1994-02-04 | 1997-10-28 | Lsi Logic Corporation | Method of doping metal layers for electromigration resistance |
KR100193100B1 (ko) | 1995-02-02 | 1999-06-15 | 모리시다 요이치 | 반도체장치 및 그 제조방법 |
US5674787A (en) * | 1996-01-16 | 1997-10-07 | Sematech, Inc. | Selective electroless copper deposited interconnect plugs for ULSI applications |
US6077774A (en) * | 1996-03-29 | 2000-06-20 | Texas Instruments Incorporated | Method of forming ultra-thin and conformal diffusion barriers encapsulating copper |
JP3309717B2 (ja) | 1996-06-26 | 2002-07-29 | 三菱電機株式会社 | 集積回路の配線の製造方法 |
US5693563A (en) * | 1996-07-15 | 1997-12-02 | Chartered Semiconductor Manufacturing Pte Ltd. | Etch stop for copper damascene process |
US5695810A (en) * | 1996-11-20 | 1997-12-09 | Cornell Research Foundation, Inc. | Use of cobalt tungsten phosphide as a barrier material for copper metallization |
KR100216266B1 (ko) * | 1996-12-26 | 1999-08-16 | 구본준 | 반도체 장치의 제조방법 |
US5801100A (en) * | 1997-03-07 | 1998-09-01 | Industrial Technology Research Institute | Electroless copper plating method for forming integrated circuit structures |
JPH1116906A (ja) | 1997-06-27 | 1999-01-22 | Sony Corp | 半導体装置及びその製造方法 |
US6100184A (en) * | 1997-08-20 | 2000-08-08 | Sematech, Inc. | Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer |
EP0933814A1 (en) * | 1998-01-28 | 1999-08-04 | Interuniversitair Micro-Elektronica Centrum Vzw | A metallization structure on a fluorine-containing dielectric and a method for fabrication thereof |
US5968333A (en) * | 1998-04-07 | 1999-10-19 | Advanced Micro Devices, Inc. | Method of electroplating a copper or copper alloy interconnect |
US6372633B1 (en) * | 1998-07-08 | 2002-04-16 | Applied Materials, Inc. | Method and apparatus for forming metal interconnects |
US6180523B1 (en) * | 1998-10-13 | 2001-01-30 | Industrial Technology Research Institute | Copper metallization of USLI by electroless process |
US6174812B1 (en) * | 1999-06-08 | 2001-01-16 | United Microelectronics Corp. | Copper damascene technology for ultra large scale integration circuits |
US6136707A (en) * | 1999-10-02 | 2000-10-24 | Cohen; Uri | Seed layers for interconnects and methods for fabricating such seed layers |
-
1999
- 1999-07-27 US US09/361,573 patent/US6342733B1/en not_active Expired - Lifetime
-
2000
- 2000-07-27 JP JP2001512629A patent/JP2003505882A/ja active Pending
- 2000-07-27 KR KR10-2002-7000748A patent/KR100496711B1/ko not_active IP Right Cessation
- 2000-07-27 EP EP00952213A patent/EP1210732A1/en not_active Withdrawn
- 2000-07-27 CN CNB008108315A patent/CN1191621C/zh not_active Expired - Fee Related
- 2000-07-27 WO PCT/US2000/020378 patent/WO2001008213A1/en not_active Application Discontinuation
- 2000-07-29 TW TW089115047A patent/TW483137B/zh not_active IP Right Cessation
-
2001
- 2001-11-13 US US10/054,605 patent/US20020098681A1/en not_active Abandoned
-
2005
- 2005-07-19 US US11/183,773 patent/US7468320B2/en not_active Expired - Lifetime
-
2008
- 2008-12-22 US US12/341,856 patent/US20090142924A1/en not_active Abandoned
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2012024918A1 (zh) * | 2010-08-26 | 2012-03-01 | 中国科学院微电子研究所 | 一种半导体结构及其制造方法 |
CN102376633A (zh) * | 2010-08-26 | 2012-03-14 | 中国科学院微电子研究所 | 一种半导体结构及其制造方法 |
Also Published As
Publication number | Publication date |
---|---|
US20020098681A1 (en) | 2002-07-25 |
US20090142924A1 (en) | 2009-06-04 |
US7468320B2 (en) | 2008-12-23 |
EP1210732A1 (en) | 2002-06-05 |
US20050266673A1 (en) | 2005-12-01 |
US6342733B1 (en) | 2002-01-29 |
CN1364311A (zh) | 2002-08-14 |
KR100496711B1 (ko) | 2005-06-23 |
KR20020021394A (ko) | 2002-03-20 |
JP2003505882A (ja) | 2003-02-12 |
WO2001008213A1 (en) | 2001-02-01 |
TW483137B (en) | 2002-04-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1191621C (zh) | 具有高抗电迁移的导体的制作方法及其结构 | |
JP4555540B2 (ja) | 半導体装置 | |
JP3057054B2 (ja) | 銅線の多層相互接続を形成する方法 | |
CN1295786C (zh) | 多级半导体结构中对准带帽金属线和互连的形成 | |
JP2003505882A5 (zh) | ||
US20020024142A1 (en) | Semiconductor device and manufacturing method of the same | |
US20120161320A1 (en) | Cobalt metal barrier layers | |
US6706629B1 (en) | Barrier-free copper interconnect | |
WO2006003760A1 (ja) | 半導体装置及びその製造方法 | |
KR100383204B1 (ko) | 반도체 장치 및 그 제조 방법 | |
JP2004039916A (ja) | 半導体装置およびその製造方法 | |
CN101043028A (zh) | 半导体器件及其制造方法 | |
JP2001284453A (ja) | 相互接続用の2重層低誘電性バリアを形成する方法および形成された装置 | |
CN1630060A (zh) | 铜内连线的制作方法 | |
US7855438B2 (en) | Deep via construction for a semiconductor device | |
CN1941355A (zh) | 集成电路元件及其形成方法 | |
KR100331906B1 (ko) | 반도체 장치의 제조 방법 | |
KR100546209B1 (ko) | 반도체 소자의 구리 배선 형성 방법 | |
CN101414576A (zh) | 半导体器件及形成其阻挡金属层的方法 | |
US8084864B2 (en) | Electromigration resistant aluminum-based metal interconnect structure | |
CN1360346A (zh) | 电子结构及其形成方法 | |
KR100924556B1 (ko) | 반도체 소자의 금속배선 및 그 형성방법 | |
US20060199360A1 (en) | Cladded silver and silver alloy metallization for improved adhesion and electromigration resistance | |
JP2001319930A (ja) | 半導体装置の製造方法 | |
US6541860B1 (en) | Barrier-to-seed layer alloying in integrated circuit interconnects |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C06 | Publication | ||
PB01 | Publication | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
C17 | Cessation of patent right | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20050302 Termination date: 20110727 |