CN116963870A - 用于原位重新生成表面纹理的使用增材制造处理形成的结构 - Google Patents

用于原位重新生成表面纹理的使用增材制造处理形成的结构 Download PDF

Info

Publication number
CN116963870A
CN116963870A CN202280015948.7A CN202280015948A CN116963870A CN 116963870 A CN116963870 A CN 116963870A CN 202280015948 A CN202280015948 A CN 202280015948A CN 116963870 A CN116963870 A CN 116963870A
Authority
CN
China
Prior art keywords
domains
domain
polishing
top surface
treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280015948.7A
Other languages
English (en)
Inventor
普尼特·纳伦德拉·贾瓦利
维拉·拉哈瓦·雷迪·卡基雷迪
拉吉耶夫·巴贾杰
丹尼尔·雷德菲尔德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN116963870A publication Critical patent/CN116963870A/zh
Pending legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/24Lapping pads for working plane surfaces characterised by the composition or properties of the pad materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/34Laser welding for purposes other than joining
    • B23K26/342Build-up welding
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C64/00Additive manufacturing, i.e. manufacturing of three-dimensional [3D] objects by additive deposition, additive agglomeration or additive layering, e.g. by 3D printing, stereolithography or selective laser sintering
    • B29C64/30Auxiliary operations or equipment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y40/00Auxiliary operations or equipment, e.g. for material handling
    • B33Y40/20Post-treatment, e.g. curing, coating or polishing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y80/00Products made by additive manufacturing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C64/00Additive manufacturing, i.e. manufacturing of three-dimensional [3D] objects by additive deposition, additive agglomeration or additive layering, e.g. by 3D printing, stereolithography or selective laser sintering
    • B29C64/10Processes of additive manufacturing
    • B29C64/106Processes of additive manufacturing using only liquids or viscous materials, e.g. depositing a continuous bead of viscous material
    • B29C64/112Processes of additive manufacturing using only liquids or viscous materials, e.g. depositing a continuous bead of viscous material using individual droplets, e.g. from jetting heads
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y10/00Processes of additive manufacturing

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

本公开内容的实施方式通常涉及使用增材制造处理形成的结构,且更特定而言,涉及抛光垫及用于制造抛光垫的方法,这些抛光垫可用于化学机械抛光(CMP)处理。本文描述的结构由多个印刷层形成。该结构包括具有第一材料成分的第一材料域及具有第二材料成分的多个第二材料域,该第二材料成分不同于该第一材料成分。在同等力被施加到该第一材料域及该多个第二材料域的顶表面上时,该第一材料域被配置为具有第一移除速率,且该多个第二材料域被配置为具有不同的第二移除速率。

Description

用于原位重新生成表面纹理的使用增材制造处理形成的结构
技术领域
本公开内容的实施方式一般涉及使用增材制造处理形成的结构,且更特定而言,涉及用于电子元件处理中的基板的化学机械抛光(chemical mechanical polishing;CMP)的抛光垫。
背景技术
化学机械抛光(CMP)是一种已在诸多不同行业中用于平坦化基板表面的常规处理。例如,CMP通常用于制造高密度集成电路,以平坦化或抛光沉积在半导体基板上的材料层。在CMP期间,基板(如硅晶片)安装在载体头上,其中器件表面抵靠旋转抛光垫放置。载体头在基板上提供可控的负载,以推动器件表面抵靠抛光垫。抛光液(如含有磨粒的浆料)被提供给移动的抛光垫及抛光头的表面。抛光垫及抛光头向基板施加机械能,同时抛光垫也有助于控制在抛光期间与基板相互作用的浆料的传输。
抛光垫具有表面微纹理或粗糙结构,其允许磨料捕获及浆料传输,并影响表面机械特性。抛光垫的表面纹理及表面及整体机械特性(例如,弹性、回弹、硬度及刚度)及CMP处理条件对集成电路(integrated circuit;IC)裸片等级(微观/纳米级)及晶片或全局等级(宏观)的CMP抛光性能皆有显著影响。例如,CMP处理力及条件(如垫压缩、垫回弹、摩擦、处理期间的温度变化及研磨性含水浆料化学物种)会影响抛光垫的性质,且从而影响CMP性能。
在每个CMP处理步骤中,抛光垫暴露于压缩及回弹循环、加热及冷却循环及研磨浆料化学物种中。最终,在抛光一定数量的基板后,抛光垫会变得磨损或“光滑(glazed)”,且随后需要更换或修复。在抛光处理循环期间的不同时间,垫调节盘(例如,镶金刚石磨盘)被推动抵靠并扫过整个抛光表面,以研磨及重新生成抛光垫的表面。使用垫调节盘在常规抛光垫上重新生成的表面纹理基本上是随机的,并且因此是高度不可预测且难以控制的。
因此,本领域需要提供对垫表面纹理的控制及垫表面纹理的原位重新生成的抛光垫及制造抛光垫的方法。需要此种改进以在微观等级及宏观等级上(如在整个基板上)产生改进的抛光均匀性。
发明内容
本发明的实施方式一般涉及使用增材制造处理形成的结构,更具体而言,涉及可用于化学机械抛光(CMP)处理的抛光垫及制造抛光垫的方法。
在一个实施方式中,一种结构包括多个印刷层。该结构包括具有第一材料成分的第一材料域及具有第二材料成分的多个第二材料域,该第二材料成分不同于该第一材料成分。在同等力被施加到该第一材料域及该多个第二材料域的顶表面时,该第一材料域被配置为具有第一移除速率,且该多个第二材料域被配置为具有不同的第二移除速率。
在另一实施方式中,一种重新生成表面纹理的方法包括改变结构顶表面的表面纹理,包括在一个或多个特定应用处理中使用该结构。该结构由多个印刷层形成,且在改变之前,表面纹理包括在该结构的顶表面中形成的多个示例性特征。该方法包括向该结构的顶表面施加处理或力,以重新生成包括多个示例性特征的表面纹理。
在另一实施方式中,一种结构包括多个印刷层。该结构包括第一材料域及第二材料域,第一材料域包括具有第一材料配方的第一多个子域,且第二材料域包括具有第二材料配方的第二多个子域,该第二材料配方不同于第一材料配方。第二材料域的至少一部分被配置为通过施加到结构顶表面的处理或力以不同于第一材料域的速率被选择性地移除,从而在顶表面上重新生成表面纹理。
附图说明
为便于详细理解本公开内容的上述特征,可参考实施方式获得上文简要概述的本公开内容的更具体的描述,其中一些实施方式在附图中进行了说明。然而应注意,附图仅示出了本公开内容的典型实施方式,因此不应被认为是对其范围的限制,因为本公开内容可允许其他等效的实施方式。
图1A为根据本文所述的一个或多个实施方式的3D印刷结构的一部分的示意性俯视图。
图1B-图1D为根据本文所述各种实施方式的沿图1A的剖面线1-1'截取的3D印刷结构的一部分的示意性横截面图。
图2为根据本文所述的一个或多个实施方式的用于重新生成3D印刷结构的表面纹理的方法的示意图。
图3A-图3C为根据本文所述一个或多个实施方式的在方法的不同阶段的3D印刷结构的示意性横截面图。
图4A是根据本文所述的一个或多个实施方式的用于施加剪切力的垫调节组件的示意图。
图4B为根据本文所述一个或多个实施方式的喷水设备的示意图。
图4C为根据本文所述一个或多个实施方式的辐射设备的示意图。
图5为被配置为使用根据本文所述的一个实施方式或实施方式组合而形成的抛光垫的抛光系统的示意性侧视图。
图6A为根据本文所述的一个或多个实施方式的抛光垫的一部分的示意性透视截面图。
图6B是根据一个实施方式的图6A所示的抛光垫的抛光垫表面的一部分的示意性放大俯视图。
图7A为根据本文所述的一个或多个实施方式的抛光垫的一部分的示意性透视截面图。
图7B是根据一个实施方式的图7A所示的抛光垫的抛光垫表面一部分的示意性放大俯视图。
图8A为根据本文所述一个或多个实施方式或其组合的可用于制造抛光垫的增材制造系统的示意性截面图。
图8B为示意性图示了根据本文所述的一个或多个实施方式或其组合的设置在先前形成的印刷层表面上的液滴的放大横截面图。
图9为阐述根据一个或多个实施方式的形成抛光垫印刷层的方法的示意图。
为便于理解,尽可能使用相同的附图标记来表示图中共用的元件。可预期,在一个实施方式中公开的元件可有益地用在其他实施方式上,而无需具体叙述。
具体实施方式
本文所述的实施方式一般涉及使用增材制造处理形成的结构,且更具体而言,涉及抛光垫及制造抛光垫的方法,该抛光垫可用于化学机械抛光(CMP)处理中。特定而言,本文所述的结构及抛光垫的特征在于空间排列的材料域,这些域被配置为原位重新生成表面纹理。
通常,本文所述方法使用增材制造系统,例如2D或3D喷墨打印机系统,以逐层处理地形成(印刷)3D印刷结构的至少某些部分。典型地,每个印刷层通过在制造支撑件或先前形成的印刷层上顺序沉积并至少部分固化至少两种不同预聚合物(pre-polymer)或其他材料成分的相应液滴而形成(印刷)。有利的是,本文阐述的增材制造系统及方法能够在每个印刷层内(x-y分辨率)进行至少微米级的液滴位置控制及在每个印刷层的厚度上(z分辨率)进行微米级(0.1μm至200μm)的控制。由本文阐述的增材制造系统及方法提供的微米级x-y及z分辨率有助于形成至少两个不同材料域的期望且可重复的图案,每个材料域具有独特的性质及属性。因此,在一些实施方式中,本文阐述的形成3D印刷结构的方法还赋予由其形成的3D印刷结构一个或多个独特的结构特征。
本文公开的实施方式提供了对垫表面纹理的控制及垫表面纹理的原位重新生成。这些优点至少部分是通过本文公开的装置和/或方法实现的,这些装置和/或方法能够通过增材制造将具有不同性质的材料精确放置在材料基质中;在每个方向上调谐材料基质,以促进调节处理期间材料位移的程度;及具有预测及控制由调节处理产生的处理后表面纹理的能力。
图1A为根据本文所述的一个或多个实施方式的3D印刷结构100的一部分的示意性俯视图。3D印刷结构100的顶表面102包括第一材料域104及多个第二材料域106。如图1A所示,当从上方观察时,第二材料域106具有正方形截面形状。然而,设想当从上方观察时,第二材料域106可具有任何期望的截面形状,包括不规则形状。在某些实施方式中,第一及第二材料域104、106中的一者或两者具有约100μm或更大的至少一个横向尺寸(即,在x-y平面中测量),如约100μm至约10mm,如约100μm至约5mm,如约100μm至约1mm,如约100μm至约500μm。在一些实施方式中,第一及第二材料域104、106的一个或多个横向尺寸在整个顶表面102上是变化的,以调谐硬度、机械强度、流体传输特性或其他期望的材料域特性。可设想每个域104、106可由单一材料或多种不同材料的复合材料形成。通常,第一及第二域104、106具有不同的材料配方和/或不同的材料特性。在某些实施方式中,第一及第二域104、106包括一种或多种不同的材料。亦可设想即使当两个域104、106两者都包括相同的材料或材料成分时,不同的材料配方也可存在于域104、106之间,仅在各自的域内具有不同的相对浓度和/或分布。在某些实施方式中,不同的材料特性可取决于一个或多个处理参数,如UV固化的速度或持续时间的差异,此将在下文中参照图8A进行更详细的描述。
在图1A中,第一及第二域104、106被图案化,以使得第一域104形成大体上跨越3D印刷结构100的顶表面102的整个长度或宽度的互连网络。相反,多个第二域106插入在第一域104的区域之间,并由与相同材料的一个或多个其他区域不相连的离散区域所组成。尽管图1A示出了其中一个域插入在另一个域中的区域之间的实施方式,但第一及第二域104、106并不特定限定于所示的实施方式。例如,可设想两个域可互连,或者两个域都可由离散的区域组成。在某些实施方式中,图1A所示的图案大体上在整个3D印刷结构100上延伸。
图1B-图1D为根据本文所述各种实施方式的沿图1A的剖面线1-1'截取的3D印刷结构100的一部分的示意性横截面图。共同参照图1B-图1D,3D印刷结构100b-d包括垂直堆叠(例如,在z方向上)的多个印刷层108。可设想每个印刷层108可具有沿z轴测量的约2μm至约10μm的厚度。具体参考图1B,多个印刷层108通过x-y平面(即,横向)中的均匀材料放置而被相同地映射,使得第一及第二域104、106中的每一者均匀地堆叠在与印刷层108正交的垂直构建平面中。在本文中,x-y平面是水平的,而z轴是垂直的。图1B示出了多个印刷层108相对于y轴彼此对齐,且尽管图1B中未示出,但是多个印刷层108也相对于x轴彼此对齐。
相比之下,具体参考图1C,多个印刷层108相对于x-y平面周期性或间歇性地偏移,使得第一及第二域104、106在垂直构建平面中非均匀堆叠。在对顶表面102施加应力(例如,处理或力)的调节处理期间(此将在下文更详细地描述),多个印刷层108的间歇偏移对被移除的层的数量及深度施加了结构限制。因此,间歇偏移向调节处理添加了额外的控制旋钮,以重新生成3D印刷结构100c的表面纹理。在图1C中,图案的位置每5层或者每约10μm到约50μm偏移一次。然而,可设想在形成任意数目的层之后偏移位置。尽管图1C示出了多个印刷层108的偏移在相对于y轴的两个位置之间来回交替,但可设想多个印刷层108的偏移可以是随机的或者在一个方向上连续移动。尽管在图1C中未示出,但可设想,相对于x轴,多个印刷层108可相对于彼此偏移或对齐,而不会影响图1B-图1C的实施方式之间更一般的结构及功能差异,此将在下文更详细地描述。尽管图1C图示相邻区段中的第二域106不重叠,但设想可能出现一些重叠。然而,可能期望对重叠进行限制,使得被移除的层的数目及深度被保持为仅限于垂直构建平面的偏移层的相邻区段上方的区段。
具体参看图1D,在3D印刷结构100d中引入了多个低粘附力层或分离层。在图1D中,低粘附力层110在x-y平面中取向。每个低粘附力层110内的结合(诸如x-y平面中的横向交联)及内聚力、粘附力或两者防止每个低粘附力层110内部分离或破裂。然而,与低粘附力层110内的结合相比,每个低粘附力层110与周围层108之间的垂直结合相对较弱。因此,在将应力施加到顶表面102的调节处理期间(此将在下文更详细地描述),多个低粘附力层110中的一个上层被配置为与周围层108分离(归因于两者之间的弱结合),且低粘附力层110被配置为作为单片被移除(归因于低粘附力层110内相对强的结合)。在某些实施方式中,低粘附力层110包括可溶解以进一步削弱每个低粘附力层110与周围层108之间的结合的材料。因此,该效果类似于上文关于3D印刷结构100c描述的实施方式,即多个低粘附力层110对在调节处理期间被移除以重新生成3D印刷结构100d的表面纹理的层的数目及深度施加结构限制。存在于层内及层间的可变结合强度可被选择,以向3D印刷结构100d提供期望的材料特性及对调节处理的响应。可设想材料之间结合强度的差异可能是不同量的交联造成的。例如,可设想低粘附力层110内的横向交联可超过低粘附力层110与周围层108之间的垂直交联。可设想,作为如图1D所示在x-y平面中取向的替代,低粘附力层110可沿着z轴取向,以在3D印刷结构的不同域之间产生垂直分离。
图2为根据一个或多个实施方式的用于重新生成3D印刷结构300的表面纹理的方法200的示意图。图3A-图3C为根据一个或多个实施方式的在方法200的不同阶段的3D印刷结构300的示意性截面图。可设想本文公开的装置和/或方法可用于各种应用中,这些应用将通过提供具有可原位重新生成的表面纹理的3D结构而受益。例如,潜在的应用包括CMP垫、精密光学装置、金属抛光、高级接触表面清洁、组织工程改造、纹理化抗微生物表面、药物输送系统及诸多其他应用。
在操作202(图3A)中,根据设计规范,提供具有设计表面纹理310或表面轮廓的3D印刷结构300。在本文中,设计表面纹理310包括形成在顶表面302中的多个示例性特征,如凹槽312。如图3A所示,顶表面302相对于x-y平面是大体上平坦的。在图3A所示的实施方式中,凹槽312具有10层或约20μm至约100μm的设计深度d1。然而,可设想设计深度d1可以是1至25层,如1至5层,如5至10层,如10至15层,如15至20层,如20至25层,其对应于约2μm至约250μm的域尺寸。尽管在图3A中示出了凹槽312,但可设想,其他示例性特征可包括阱、通道或脊等。
在操作204(图3B)中,3D印刷结构300的顶表面302的设计表面纹理310在一个或多个特定应用处理中使用3D印刷结构300时被改变。如图3B所示,顶表面302具有改变的表面纹理314,其中顶表面302相对于x-y平面不再大体上平坦。相反,顶表面302具有包括多个接触粗糙体318的波状拓扑结构。本文使用的术语“接触粗糙体(contact asperity)”是指顶表面302的被配置为接触基板的特定部分。此外,凹槽312的深度从设计深度d1减小到较小的深度d2。
在操作206中,对3D印刷结构300的顶表面302施加处理或力316,以重新生成包括多个示例性特征的设计表面纹理310。处理或力316以不同于第一域104的速率选择性地从第二域106移除层308。例如,在图3C所示的实施方式中,通过使用调节盘的常规研磨处理来平坦化第一域104,该调节盘将一个或多个接触粗糙体318平坦化,并从顶表面302移除材料,而不选择性地从第一域104抬出(lift out)整个层。另一方面,处理或力316的施加从第二域106均匀地移除或抬出一个或多个的整层308。通常,从第二域106抬出的用于恢复凹槽312的区域在x-y平面中的尺寸由多个印刷层108的图案决定。相比之下,可设想从第二域106抬出的区域的垂直尺寸可取决于一个或多个处理参数(例如,剪切力)。尽管图3C示出了从第二域106移除10层,但是可设想移除的层数可以是从1至20层,诸如1至5层,诸如5至10层,诸如10至20层,或者总厚度约等于设计深度d1的层数。在某些实施方式中,在操作206期间,仅最顶部的2um到10um被修改。在图3C所示的实施方式中,从第二域106移除的层数由施加到顶表面302的处理或力316的大小控制。例如,当施加剪切力时(图4A),移除率和/或移除深度取决于剪切力的大小。
在某些其他实施方式中,从第二域106中移除的层数至少部分受多个印刷层相对于x-y平面的间歇移动所施加的结构限制所控制。例如,与从图3C的3D印刷结构300抬出10层相比,在操作206向图1C的3D印刷结构100c施加相同的处理或力316可导致第二域106仅被抬出5层。因此,图1C所示的多个印刷层108的图案变化有效地防止了相邻区段的第二域106中的层在操作206期间被移除。通常,将方法200应用于如上所述的3D印刷结构300的结果是,顶表面302的平坦拓扑及凹槽312的设计深度d1恢复到设计规格或一些其他期望的规格。
使用本文公开的装置和/或方法,通过经由施加至表面的处理或力来选择性移除或移位表面某些区域中的数个层,可以在任何时间点重新生成3D印刷结构的包括其中存在的一个或多个示例性特征的表面纹理。换言之,一个或多个层的一些部分可被选择性地移除,而相同层的其他部分则被保留。举例而言,在图3A-图3C中图示的实施方式中,第二域106被选择性地移除,同时基本上保留第一域104。通常,某些部分的选择性移除取决于不同材料相对于彼此的放置,此可被称为不同材料的并置。例如,选择性移除可能取决于相同材料的分子之间的内聚力及不同材料的分子之间的粘附力,以及内聚力及粘附力的相对强度。可设想,材料配方和/或材料特性(例如模数、可混合性、可溶解性及结合性)的调节可能有助于不同程度的材料内聚力及黏合力。也设想材料的内聚力及粘附力可受材料的相对电荷影响,且改变电荷特性和/或电荷密度可实现对某些材料的选择性移除的额外控制。因为前述效应取决于特定的材料类型,包括材料的相对浓度、分布及并置,因此通过在x-y平面(层内)及垂直构建平面(层间)中的材料放置,可将内聚力及粘附力的差异设计到3D印刷结构中。
使用本文公开的设备和/或方法,可将低粘附力层引入3D印刷结构中以能够选择性移除低粘附力层。因此,经由低粘附力层附着到3D印刷结构的其他层也被选择性地移除。例如,在图1D所示的实施方式中,移除上部低粘附力层110导致设置在上部低粘附力层110与顶表面102之间的多个印刷层108被移除。此举是通过材料放置来在3D印刷结构中设计疲劳点或弱点的一个示例。
在某些实施方式中,处理或力316包括剪切力(图4A)、水喷射处理(图4B)或辐射能处理(图4C)中的至少一种,下文将对其进行更详细的描述。在某些实施方式中,可组合多于一个的处理或力316来帮助重新生成设计表面纹理310。举例而言,设想可首先将辐射处理应用于顶表面302以修改影响第一及第二域104、106中的至少一者的内聚力或粘附力的一中或多种材料性质(例如,聚合物交联),从而为施加剪切力来实际移除受影响的层308做准备。也可设想,可首先对顶表面302应用水喷射处理,以部分溶解第一或第二域104、106的一者,从而为施加剪切力来实际移除受影响的层308做准备。
图4A为用于对顶表面302施加剪切力的示例性垫调节组件410的示意图。垫调节组件410包括调节臂412及致动器414及416,致动器414及416被配置为促使垫调节盘418(例如,镶金刚石磨盘)被推抵并扫过3D印刷结构300的顶表面302。在某些实施方式中,垫调节盘418具有类似于金刚石或碳化硅的硬度,如具有约9或更大的莫氏硬度等级。
图4B为示例性喷水设备420的示意图。喷水设备420包括臂422,臂422具有多个喷嘴424,这些喷嘴424安置在远端,并被配置为将水射流426施加到3D印刷结构300的顶表面。
图4C为示例性辐射设备430的示意图。设备430包括臂432,臂432具有辐射源434,辐射源434安置在远端的并被配置为产生辐射能436,辐射能436被导向3D印刷结构300的顶表面302。在某些实施方式中,辐射能436包括激光、紫外光、可见光或微波等中的至少一种。
抛光垫示例
可设想用于基板处理的CMP抛光垫可受益于本文所公开的设备和/或方法。尽管本文所述的实施方式一般系关于半导体装置制造中使用的CMP垫,但是抛光垫及其制造方法也适用于使用化学活性及化学非活性抛光液和/或不含磨粒的抛光液的其他抛光处理。此外,本文描述的实施方式可单独或组合地用于至少以下行业:航空航天、陶瓷、硬盘驱动器(hard disk drive;HDD)、微机电系统(microelectromechanical system;MEMS)及纳米技术、金属处理、光学及电光学制造及半导体装置制造等。
图5是示例性抛光系统500的示意性侧视图,抛光系统500被配置为使用根据本文所述的一个实施方式或实施方式组合形成的抛光垫。在本文中,抛光系统500的特征在于压板504及基板载体506,压板504具有使用压敏黏合剂固定到其上的抛光垫502。基板载体506面向压板504以及安装在压板504上的抛光垫502。基板载体506用于将安置在其中的基板508的材料表面推向抛光垫502的抛光表面,同时围绕载体轴510旋转。压板504围绕压板轴512旋转,同时旋转的基板载体506从压板504的内径到外径来回扫动,以部分减少抛光垫502的不均匀磨损。
抛光系统500进一步包括流体输送臂514及垫调节器组件516。流体输送臂514位于抛光垫502上方,且用于将抛光液(如其中悬浮有研磨剂的抛光液)输送到抛光垫502的表面。在某些实施方式中,抛光液包含pH调节剂及其他化学活性成分,如氧化剂,以实现对基板508的材料表面的化学机械抛光。垫调节器组件516用于在抛光基板508之前、之后或期间,通过将固定的研磨调节盘518推靠在抛光垫502的表面上来对抛光垫502进行调节。将调节盘518推靠抛光垫502包括围绕轴520旋转调节盘518,并从压板504的内径到压板504的外径扫动调节盘518。调节盘518用于研磨、复原抛光垫502的抛光表面以及从抛光垫502的抛光表面移除抛光副产品或其他碎屑。
图6A是根据本文所述的一个或多个实施方式的示例性抛光垫600的一部分的示意性透视截面图。图6A绘示了重新生成表面纹理之后(例如,在图2的方法200的操作206之后)的抛光垫600的顶表面602或抛光表面。在某些实施方式中,图6A所示的顶表面602的表面纹理大体上在整个抛光垫600上延伸。抛光垫600的顶表面602包括多个抛光表面604,在这些抛光表面604之间限定了多个通道606。通常,多个通道606用于在抛光处理期间储存及分配浆料。多个通道606包括多个主通道及次通道,这些主通道及次通道大体上相互正交对准。在图6A所示的实施方式中,主通道通常在x轴方向上对齐,并形成在相邻的抛光表面604之间,将抛光表面604沿着y轴彼此隔开。次通道通常在y轴方向上对齐,并形成在相邻的抛光表面604之间,将抛光表面604沿着x轴彼此间隔开。在某些实施方式中,多个通道606中的每一个的宽度608为约100μm至约1000μm,如约200μm至约800μm,如约400μm至约600μm,如约500μm。在某些实施方式中,从多个抛光表面604的一者的顶部到相邻通道606的底部测量的多个通道606中每一个的深度610为约10μm至约100μm,如约20μm至约80μm,如约40μm至约60μm,如约50μm。在某些实施方式中,多个抛光表面604中每一个的宽度612为约200μm至约2000μm,如约400μm至约1600μm,如约800μm至约1200μm,如约1000μm。
图6B是根据一个实施方式的图6A所示的抛光垫600的顶表面602的一部分的示意性放大俯视图。相较于图6A,图6B绘示了重新生成表面纹理之前(例如,在图2的方法200的操作206之前)的抛光垫600的顶表面602。图6B所示的抛光垫600的部分的特征在于由多个第一材料域620及第二材料域630形成的抛光垫材料的连续相。在本文中,空间排列的第一材料域620插入在第二材料域630的区域之间。每个第一材料域620对应于图6A所示的多个抛光表面604中的一个。同样,第二材料域630对应于图6A所示的多个通道606。
第一材料域620及第二材料域630分别由多个单独的子域622及子域632形成。如图6B所示,当从上方观察时,每个子域622、632具有正方形截面形状,具有第一横向尺寸w1及第二横向尺寸w2。然而,设想当从上方观察时,子域622、632可具有任何期望的截面形状,包括不规则形状。横向尺寸w1及w2是平行于表面602的顶部来测量的,且因此平行于x-y平面中的抛光垫600的支撑表面测量。横向尺寸w1及w2由增材制造处理限定,此将在下文更详细地描述。在某些实施方式中,横向尺寸w1及w2为约0.1μm或更大,如约1μm或更大,如约1μm至约40μm。图6B的点阵图图像中绘示的每个子域622、632对应于在增材制造处理期间沉积的单个液滴(下文将更详细地描述)。因此,每个单独的子域622、632具有与相应液滴相关联的特征材料成分,且该材料成分可不同于一个或多个相邻子域622、632的材料成分。
在某些实施方式中,第一及第二材料域620、630由不同的预聚合成分形成,如图8A的描述中所述的示例性预聚合成分,且因此在一中或更多种材料性质上彼此不同。在可与本文公开的其他实施方式相结合的一些实施方式中,第一及第二材料域中的一者或多者包括至少一种金属或陶瓷材料。在一些实施方式中,第一材料域620及第二材料域630的储能模量(storage modulus)E’彼此不同,且可使用合适的测量方法(如纳米压痕)来测量该差异。在一些实施方式中,多个第一材料域620具有相对低的储能模量E’,而第二材料域630具有相对中等或相对高的储能模量E’。表1总结了在约30摄氏度温度下低、中及高储能模量E’材料域的特性(E’30)。
表1
在一些实施方式中,第一材料域620与第二材料域630之间的储能模量E’30的比值大于约1∶2、大于约1∶5、大于约1∶10、大于约1∶50,例如大于约1∶100。在一些实施方式中,第一材料域620及第二材料域630之间的储能模量E’30的比值大于约1∶500,例如大于1∶1000。
在图6B所示的实施方式中,第一材料域620仅包含低模量材料M2,使得第一材料域620内的单独子域622中的每一者具有相同的材料成分。因此,第一材料域620的储能模量E’大体上等于每个单独子域622的储能模量E’。相比之下,第二材料域630包括混合模量复合材料,其中单独子域632具有多种不同的材料成分。在本文中,第二材料域630包括插入在具有高模量材料M1与低模量材料M2的交替图案的区域之间的成孔剂材料M3的块。本文所用术语“成孔剂”是指任何形成孔的材料成分,如暴露于调节流体时溶解的可溶性牺牲材料,从而在第二材料域630内形成对应的多个孔。在某些实施方式中,成孔剂材料M3用诸如水的液体排空。每个单独的子域632的相对密度及图案化决定了第二材料域630的总储能模量E’。在一些其他实施方式中(未示出),第二材料域630仅包括高模量材料M1。
尽管图6B仅显示抛光垫600的顶层,但设想当从侧面观察时,抛光垫600可具有类似于图1B、图1C或图1D的结构。换言之,第一及第二域620、630可均匀地堆叠在垂直构建平面中的层之间,第一及第二域620、630可间歇地偏移且不均匀地堆叠在垂直构建平面中的层之间,一个或多个低粘附力层可沿着垂直构建平面在选定深度处引入到第一及第二域620、630之间,或者上述各者的组合。
参考图6B,在对顶表面602施加应力(例如,处理或力)的调节处理期间(例如,根据图2的方法200的操作206),第二域630被选择性地移除,同时基本上保留第一域620。第二域630的选择性移除导致了图6A所示的结构。可设想,可使用本文描述的任何设备来施加应力,如参照图4A-图4C描述的一个或多个设备。
图7A是根据本文所述的一个或多个实施方式的示例性抛光垫700的一部分的示意性透视截面图。图7A绘示了重新生成表面纹理之后(例如,在图2的方法200的操作206之后)的抛光垫700的顶表面702。在某些实施方式中,图7A所示的顶表面702的表面纹理大体上在整个抛光垫700上延伸。抛光垫700的顶表面702包括多个抛光表面704,抛光表面704中限定有多个阱706。多个阱706凹入顶表面702下方。通常,多个阱706用于在抛光期间储存及分配浆料。在本文中,当从上方观察时,多个阱706具有圆形形状。然而,可设想当从上方观察时,多个阱706可具有任何期望的形状,包括不规则形状。在本文中,阱706在底部是圆形的且具有平缓倾斜的侧面。然而,可设想当从侧面观察时,多个阱706可具有任何期望的截面轮廓,包括平坦的底部和/或侧面。在某些实施方式中,多个阱706中的每一者的宽度708为约100μm至约1000μm,如约200μm至约800μm,如约400μm至约600μm,如约500μm。在某些实施方式中,从多个抛光表面704的一者的顶部到相邻阱706的底部测量的多个阱706中的每一者的深度710为约20μm至约120μm,如约40μm至约120μm,如约60μm至约100μm,如约60μm。在某些实施方式中,多个抛光表面704中的每一者的宽度712为约200μm至约2000μm,如约400μm至约1600μm,如约800μm至约1200μm,如约1000μm。
图7B是根据一个实施方式的图7A所示的抛光垫700的顶表面702的一部分的示意性放大俯视图。相较于图7A,图7B绘示了重新生成表面纹理之前(例如,在图2的方法200的操作206之前)的抛光垫700的顶表面702。图7B所示的抛光垫700的部分的特征在于由多个第一材料域720及第二材料域730形成的抛光垫材料的连续聚合物相。在本文中,空间排列的第一材料域720插入在第二材料域730的区域之间。每个第一材料域720对应于图7A所示的多个阱706中的一者。同样,第二材料域730对应于图7A所示的多个抛光表面704。第一材料域720及第二材料域730分别由多个单独的子域722及子域732形成,这些子域类似于参照图6B描述的子域。因此,图6B的子域622、632的相应描述不受限地并入此处。
在图7B所示的实施方式中,第一材料域720及第二材料域730包括混合模量复合材料,其中单独子域722、732具有多种不同的材料成分。在本文中,第一材料域720包括高模量材料M1及低模量材料M2的交替图案。相较之下,第二材料域730包括插入在低模量材料M2区域之间的成孔剂材料M3的块。单独子域722、732中的每一个的相对密度及图案化分别决定了第一及第二材料域720、730的总储能模量E’。在一些其他实施方式中(未示出),第一材料域720仅包括高模量材料M1。在某些实施方式中,高模量材料M1具有在室温下测量的约1600MPa的储能模量。在某些实施方式中,低模量材料M2具有在室温下测量的约4MPa的储能模量。
尽管图7B仅显示抛光垫700的顶层,但设想从侧面观察时,抛光垫700可具有类似于图1B、图1C或图1D的结构。换言之,第一及第二域720、730可均匀地堆叠在垂直构建平面中的层之间,第一及第二域720、730可间歇地偏移且不均匀地堆叠在垂直构建平面中的层之间,一个或多个低粘附力层可在沿着垂直构建平面的选定深度处引入到第一及第二域720、730之间,或者上述各者的组合。
参考图7B,在对顶表面702施加应力的调节处理期间(例如,根据图2的方法200的操作206),第一域720被选择性地移除,同时基本保留第二域730。第一域720的选择性移除导致图7A中所示的结构。可设想,可使用本文描述的任何设备来施加应力,如参照图4A-图4C描述的一个或多个设备。
上述抛光垫600、700中的每一者均具有至少一个相对低储能模量E’的域(即图6B中的域620及图7B中的域730)及至少一个相对高储能模量E’的域(即图6B中的域630及图7B中的域720)。在两个实施方式中,在调节处理期间,相对高储能模量E’而域被选择性地移除,从而得到图6A及图7A所示的结构。因此,调节处理后形成在顶表面602、702上的接触粗糙体分别具有与抛光垫600及抛光垫700的总储能模量E’相比相对较低的储能模量E’。因此,通过使用本文所公开的设备和/或方法,可设想每个抛光垫600、700的块状基质或支架可被制成具有相对高的刚性,而其抛光表面与块状基质相比可具有更有利于接触及抛光基板(例如,更不易于刮擦或引起其他抛光垫所致的缺陷)的独特性质(例如,相对低的储能模量E’)。
图8A为根据一些实施方式的可用于形成本文所述抛光垫的增材制造系统的示意性截面图。在本文中,增材制造系统800的特征在于可移动制造支撑件802、安置在制造支撑件802上方的多个分配头804及806、固化源808及系统控制器810。在一些实施方式中,在抛光垫制造期间,分配头804、806彼此独立并独立于制造支撑件802移动。第一及第二分配头804及806流体耦接到对应的第一及第二预聚合成分源812及814,这些源分别提供第一及第二预聚合成分。
在一些实施方式中,增材制造系统800的特征在于第三分配头(未示出),其流体耦接到牺牲材料前驱物源(例如,成孔剂材料)(未示出)。在一些实施方式中,增材制造系统800包括所需数量的分配头,用于各自分配不同的预聚合成分或牺牲材料前驱物成分。在一些实施方式中,增材制造系统800进一步包括多个分配头,其中两个或更多个分配头被配置为分配相同的预聚合成分或牺牲材料前驱物成分。
此处,分配头804,806中的每一者的特征在于液滴喷射喷嘴816的阵列,喷嘴816被配置为喷射输送至分配头储槽的相应预聚合成分的液滴830、832。在本文中,液滴830、832朝向制造支撑件喷射,并因此喷射到制造支撑件802上或设置在制造支撑件802上的先前形成的印刷层818上。分配头804、806中的每一个被配置为以独立于分配头的其他喷嘴816的喷射的方式以各自的几何阵列或图案从喷嘴816中的每一者喷射液滴830、832(控制液滴的喷射)。在本文中,当分配头804、806相对于制造支撑件802移动时,喷嘴816根据待形成的印刷层(例如印刷层824)的液滴分配图案而独立地喷射。一旦进行了分配,液滴830、832通过暴露于由电磁辐射源(如紫外辐射源808)提供的电磁辐射(例如紫外辐射826)而被至少部分固化,以形成印刷层,如部分形成的印刷层824。
在一些实施方式中,所分配的液滴830、832暴露于电磁辐射下,以在液滴扩散至平衡尺寸之前对液滴进行物理固定,如图8B所述。在某些实施方式中,分配的液滴830、832暴露于电磁辐射,以在液滴接触表面(如制造支撑件802的表面或设置在制造支撑件802上的先前形成的印刷层818的表面)的1秒或更短的时间内至少部分地固化液滴的预聚合成分。通常,固定液滴也期望地通过防止该液滴与设置在其附近的其他液滴聚结来在表面上固定分配的液滴的位置。此外,固定分配的液滴有益地阻止或基本上防止预聚合物成分扩散穿过相邻设置的不同预聚合成分液滴的界面区域。因此,可期望地控制不同预聚合成分液滴的混合,以在相邻设置的不同材料域之间提供相对明显的材料性质过渡。例如,在一些实施方式中,相邻设置的不同材料域之间的通常包括不同前驱物成分的一些混合的一个或多个过渡区域具有小于约50μm的宽度(未示出),如小于约40μm、小于约30μm、小于约20μm,例如小于约10μm。可能期望允许在相邻设置的不同材料域的液滴之间发生一些互混,以便改善粘附力,此可抑制或防止在界面处发生破裂。
图8B为根据一些实施方式的示意性说明设置在先前形成的层(如图8A所示的先前形成的印刷层818)的表面818a上的液滴832的放大截面图。在增材制造期间,预聚合成分的液滴(如液滴832a)从液滴832a接触表面818a的时刻起的约一秒钟内扩散并到达与先前形成的层的表面818a的平衡接触角α。平衡接触角α至少是预聚合成分的材料性质与先前形成的层(例如,先前形成的印刷层818)的表面818a处的能量(表面能)的函数。在一些实施方式中,需要在分配的液滴达到平衡尺寸之前至少部分地固化该液滴,以便固定液滴与先前形成的层的表面818a的接触角。在那些实施方式中,固定液滴832b的接触角θ大于相同预聚合成分的液滴832a的被允许扩散至其平衡尺寸的平衡接触角α。
在本文中,至少部分地固化分配的液滴830、832导致液滴内的第一及第二预聚合成分中的每一种至少部分地聚合(例如,交联),并与相同预聚合成分的相邻设置的液滴分别形成不同的第一及第二聚合物域,如本文所述的第一及第二材料域。此外,至少部分地固化第一及第二预聚合成分导致第一及第二预聚合成分在第一及第二预聚合成分的相邻设置的液滴之间的界面区域处至少部分共聚。第一及第二预聚合成分的至少部分聚合延迟或基本上防止预聚物成分扩散穿过不同预聚合成分的相邻液滴的界面边界区域,从而允许精细控制液滴之间的互混。换言之,至少部分地固化分配的液滴830、832导致液滴内的第一及第二预聚合成分的至少部分聚合,相邻设置的液滴之间的第一及第二预聚合成分的至少部分共聚,及液滴830、832与相邻设置在其下方的先前形成的印刷层818的至少部分固化的材料之间的至少部分聚合或共聚。
在可与本文所述的其他实施方式组合的一些实施方式中,第一及第二预聚合成分各自包含官能聚合物、官能低聚物、官能单体、反应性稀释剂及光引发剂的一种或多种的混合物。
可用于形成所述至少两种预聚合成分中的一者或两者的合适官能聚合物的示例包括多官能丙烯酸酯,其包括二、三、四及更高官能度的丙烯酸酯,诸如1,3,5-三丙烯酰六氢-1,3,5-三嗪或三羟甲基丙烷三丙烯酸酯。
可用于形成至少两种预聚合成分中的一者或两者的合适官能低聚物的示例包括单官能及多官能低聚物、丙烯酸酯低聚物,如脂族聚氨酯丙烯酸酯低聚物、脂族六官能聚氨酯丙烯酸酯低聚物、二丙烯酸酯、脂族六官能丙烯酸酯低聚物、多官能聚氨酯丙烯酸酯低聚物、脂族聚氨酯二丙烯酸酯低聚物、脂族聚氨酯丙烯酸酯低聚物、脂族聚酯聚氨酯二丙烯酸酯与脂族二丙烯酸酯低聚物的共混物或上述各者的组合,例如双酚A乙氧基二丙烯酸酯或聚丁二烯二丙烯酸酯、四官能丙烯酸酯聚酯低聚物、及脂族聚酯基聚氨酯二丙烯酸酯低聚物。
可用于形成至少两种预聚合成分中的一者或两者的合适单体的示例包括单官能单体及多官能单体。合适的单官能单体包括丙烯酸四氢糠酯(例如,来自的SR285)、甲基丙烯酸四氢糠酯、乙烯基己内酰胺、丙烯酸异冰片酯、甲基丙烯酸异冰片酯、2-苯氧基乙基丙烯酸酯、2-(2-乙氧基乙氧基)丙烯酸乙酯、丙烯酸异辛酯、丙烯酸异癸酯、甲基丙烯酸异癸酯、丙烯酸月桂酯、甲基丙烯酸月桂酯、丙烯酸硬脂酯、甲基丙烯酸硬脂酯、环状三羟甲基丙烷甲缩醛丙烯酸酯、2-[(丁胺基)羰基]氧基]丙烯酸乙酯(例如,来自美国RAHN公司的Genomer 1122)、3,3,5-三甲基环己烷丙烯酸酯,或单官能甲氧基化的PEG(350)丙烯酸酯。合适的多官能单体包括二醇及聚醚二醇的二丙烯酸酯或二甲基丙烯酸酯,如丙氧基化新戊二醇二丙烯酸酯、1,6-己二醇二丙烯酸酯、1,6-己二醇二甲基丙烯酸酯、1,3-丁二醇二丙烯酸酯、1,3-丁二醇二甲基丙烯酸酯、1,4-丁二醇二丙烯酸酯、1,4-丁二醇二甲基丙烯酸酯、烷氧基化脂族二丙烯酸酯(例如来自/>的SR9209A)、二甘醇二丙烯酸酯、二甘醇二甲基丙烯酸酯、二丙二醇二丙烯酸酯、三丙烯二醇二丙烯酸酯、二缩三乙二醇二甲基丙烯酸酯、烷氧基化己二醇二丙烯酸酯、或上述各者的组合,例如来自/>的SR562、SR563、SR564。
用于形成至少两种不同预聚合成分中的一种或多种的反应性稀释剂至少是单官能度的,且当暴露于自由基、路易士酸和/或电磁辐射时会发生聚合。合适的反应性稀释剂的示例包括单丙烯酸酯、2-丙烯酸乙基己酯、丙烯酸辛基癸酯、环状三羟甲基丙烷甲缩醛丙烯酸酯、丙烯酸己内酯、丙烯酸异冰片酯(IBOA)或烷氧基化的甲基丙烯酸月桂酯。
用于形成至少两种不同预聚合成分中的一种或多种的合适光引发剂的示例包括聚合光引发剂和/或低聚物光引发剂,如安息香醚、苄基缩酮、乙酰苯、烷基苯、氧化膦、二苯甲酮化合物及包含胺增效剂的噻吨酮化合物、或上述各者的组合。
由上述预聚合成分所形成的抛光垫材料的示例可包括有以下项所组成的群组中选择的低聚物或聚合物段、化合物、或材料中的至少一者:聚酰胺、聚碳酸酯、聚酯、聚醚酮、聚醚、聚甲醛、聚醚砜、聚醚酰亚胺、聚酰亚胺、聚烯烃、聚硅氧烷、聚砜、聚苯、聚苯硫醚、聚氨酯、聚苯乙烯、聚丙烯腈、聚丙烯酸酯、聚甲基丙烯酸甲酯、聚氨酯丙烯酸酯、聚酯丙烯酸酯、聚醚丙烯酸酯、环氧丙烯酸酯、聚碳酸酯、聚酯、三聚氰胺、聚砜、聚乙烯材料、丙烯腈丁二烯苯乙烯(ABS)、卤化聚合物、嵌段共聚物及其随机共聚物、及上述各者的组合。
本文所述的一些实施方式进一步包括由牺牲材料(例如,水溶性材料,如二醇(例如,聚乙二醇)、二醇醚及胺)形成的成孔特征。可用于形成本文所述的成孔特征的合适牺牲材料前驱物的示例包括乙二醇、丁二醇、二聚二醇、丙二醇(1,2)及丙二醇(1,3)、辛烷-1,8-二醇、新戊二醇、环己烷二甲醇(1,4-双羟甲基环己烷)、2-甲基-1,3-丙二醇、甘油、三羟甲基丙烷、己二醇-(1,6)、己三醇-(1,2,6)丁三醇-(1,2,4)、三羟甲基乙烷、异戊四醇、对环二己醇、甘露醇和山梨糖醇、甲基糖苷(亦即二甘醇)、三乙二醇、四甘醇、聚乙二醇、二丁二醇,聚丁二醇、乙二醇、乙二醇单丁醚(EGMBE)、二甘醇单乙醚、乙醇胺、二乙醇胺(DEA)、三乙醇胺(TEA)、及上述各者的组合。
在一些实施方式中,牺牲材料前驱物包含水溶性聚合物,如1-乙烯基-2-吡咯啶酮、乙烯基咪唑、聚乙二醇二丙烯酸酯、丙烯酸、苯乙烯磺酸钠、HitenolMaxemul丙烯酸羟乙酯及[2-(甲基丙烯酰氧基)乙基]三甲基氯化铵、3-烯丙氧基-2-羟基-1-丙磺酸钠、4-乙烯基苯磺酸钠、[2-(甲基丙烯酰氧基)乙基]二甲基-(3-磺丙基)氢氧化铵、2-丙烯酰胺基-2-甲基-1-丙磺酸、乙烯基膦酸、烯丙基氯化三苯鏻、(乙烯基苄基)氯化三甲铵、烯丙基氯化三苯鏻、(乙烯基苄基)氯化三甲铵、E-SPERSE RS-1618、E-SPERSE RS-1596、甲氧基聚乙二醇单丙烯酸酯、甲氧基聚乙二醇二丙烯酸酯、甲氧基聚乙二醇三丙烯酸酯、或上述各者的组合。/>
图8A所示的增材制造系统800进一步包括系统控制器810,用于控制该系统的运行。系统控制器810包括可编程中央处理单元(central processing unit;CPU)834,其可与存储器835(例如,非易失性存储器)及支援电路836一起操作。支援电路836通常耦接到CPU834,并包括高速缓存、时钟电路、输入/输出子系统、电源等,及耦接到增材制造系统800的上述各种部件的组合,以便于对系统进行控制。CPU 834是在工业环境中使用的任何形式的通用计算机处理器之一,如可编程逻辑控制器(programmable logic controller;PLC),用于控制增材制造系统800的各种部件及子处理器。耦接到CPU 834的存储器835是非暂时性的,并且可以是一个或多个容易获得的存储器,如随机存取存储器(random accessmemory;RAM)、只读存储器(read only memory;ROM)、软盘驱动器、硬盘或任何其他形式的本地或远程数字储存器。
存储器835是包含指令的计算机可读取储存介质的形式(例如,非易失性存储器),当由CPU 834执行时,促进制造系统800的操作。存储器835中的指令是程序产品形式,如实施本公开的方法的程序。
程序代码可符合多种不同程序设计语言中的任何一种。在一个示例中,本公开内容可被实施为储存在计算机可读取储存介质上以用于和计算机系统一起使用的程序产品。程序产品的程序限定了实施方式的功能(包括本文描述的方法)。
说明性计算机可读储存介质包括但不限于:(i)永久性储存有信息的不可写储存介质(例如,计算机内的只读存储器装置,如可由CD-ROM驱动器读取的CD-ROM光盘、闪存存储器、ROM芯片或任何类型的固态非易失性半导体存储器);及(ii)储存有可变信息的可写储存介质(例如,软盘驱动器中的软盘或硬盘驱动器或任何类型的固态随机存取半导体存储器)。此种计算机可读储存介质在承载指导本文描述的方法的功能的计算机可读指令时,是本公开内容的实施方式。在一些实施方式中,本文阐述的方法或方法中的部分由一个或多个专用集成电路(application specific integrated circuit;ASIC)、现场可编程门阵列(field-programmable gate array;FPGA)或其他类型的硬件实施方案来执行。在一些其他实施方式中,本文阐述的抛光垫制造方法通过软件例程、ASIC、FPGA和/或其他类型的硬件实施方案的组合来执行。
系统控制器810指导制造支撑件802的运动、分配头804及806的运动、启动喷嘴816以从中喷射预聚合成分的液滴、及由紫外辐射源808提供的分配液滴的固化程度及时间。在一些实施方式中,系统控制器用来指导制造系统800的操作的指令包括待形成的每个印刷层的液滴分配图案。在一些实施方式中,液滴分配图案作为CAD相容的数字印刷指令被共同储存在存储器835中。
图9为根据一个或多个实施方式形成抛光垫印刷层的方法的流程图。方法900的实施方式可与本文描述的一个或多个系统及系统操作结合使用,如图8A的增材制造系统800及图8B的固定液滴。此外,方法900的实施方式可用于形成本文所示及所述的3D印刷结构或抛光垫中的任何一者或其组合。
在操作901中,方法900包括根据预定的液滴分配图案,将第一预聚合成分的液滴及第二预聚合成分的液滴分配到先前形成的印刷层的表面上。在本文中,第一预聚合成分不同于第二预聚合成分。例如,在一些实施方式中,第一预聚合成分包括与用于形成第二预聚合成分的单体或低聚物不同的一种或多种单体或低聚物。
在操作902中,方法900包括至少部分地固化第一预聚合成分的分配液滴及第二预聚合成分的分配液滴,以形成包含一种或多种第一材料域及多个第二材料域的至少部分的印刷层。在本文中,至少部分地固化分配的液滴使第一预聚合成分及第二预聚合成分在一个或多个第一材料域及多个第二材料域之间的界面区域处发生共聚,以形成抛光材料的连续聚合物相。一个或多个第一材料域及第二材料域在一种或多种材料性质上彼此具有差异。
在一些实施方式中,方法900进一步包括连续重复操作901及902,以形成沿z方向(即,与制造支撑件表面或其上设置的先前形成的印刷层正交的方向)堆叠的多个印刷层。用于形成每个印刷层的预定液滴分配图案可与用于形成位于其下方的先前印刷层的预定液滴分配图案相同或不同。在一些实施方式中,方法900进一步包括根据预定的液滴分配图案分配牺牲材料或牺牲材料前驱物的液滴,以在一个或多个顺序形成的印刷层中形成多个空间排列的成孔特征的至少部分。
本文所述的方法有益地提供了具有受控且可重复的空间排列的材料域的结构(例如,抛光垫)的制造,这些材料域之间包含不同的材料性质。空间排列材料域的能力允许可重复及受控地制造具有可原位重新生成的表面纹理的结构(例如抛光垫)。
尽管前述内容针对本发明的实施方式,但在不脱离本发明基本范围的情况下,可设计出本发明的其他及进一步的实施方式,其范围由所附权利要求书决定。

Claims (20)

1.一种由多个印刷层形成的结构,所述结构包括:
第一材料域,所述第一材料域具有第一材料成分;及
多个第二材料域,所述多个第二材料域具有不同于所述第一材料成分的第二材料成分,其中
在同等力被施加到所述第一材料域及所述多个第二材料域的顶表面时,所述第一材料域被配置为具有第一移除速率,且所述多个第二材料域被配置为具有不同的第二移除速率。
2.根据权利要求1所述的结构,其中所述第一材料域的所述第一移除速率与所述多个第二材料域的所述第二移除速率之间的差异是基于所述第一材料成分及所述第二材料成分的一个或多个材料性质的差异。
3.根据权利要求1所述的结构,其中所述第一材料域的所述第一移除速率与所述多个第二材料域的所述第二移除速率之间的差异是基于所述第一材料成分和所述第二材料成分中的每一者内的内聚力以及所述第一材料成分和所述第二材料成分之间的粘附力。
4.根据权利要求1所述的结构,其中所述多个第二材料域被插入在所述第一材料域中的区域之间。
5.根据权利要求1所述的结构,其中所述多个第二材料域的所述第二移除速率低于所述第一材料域的所述第一移除速率,从而在所述结构的对应于所述第一材料域的顶表面中形成多个通道。
6.根据权利要求1所述的结构,其中所述多个第二材料域的所述第二移除速率高于所述第一材料域的所述第一移除速率,从而在所述结构的对应于所述多个第二材料域的顶表面中形成多个阱。
7.根据权利要求1所述的结构,其中所述第一材料域及所述第二材料域中的每一者包括多个子域,所述子域对应于在增材制造处理期间沉积的单个液滴。
8.根据权利要求1所述的结构,其中所述结构包括抛光垫,且其中所述结构的顶表面是所述抛光垫的抛光表面。
9.根据权利要求1所述的结构,其中所述结构被配置为用于从由化学机械抛光、精密光学、金属抛光、高级接触表面清洁、组织工程、纹理化抗微生物表面及药物输送系统所组成的群组中选择的至少一个应用中。
10.一种重新生成表面纹理的方法,所述方法包括以下步骤:
改变结构的顶表面的表面纹理,包括在一个或多个特殊应用处理中使用所述结构,其中所述结构由多个印刷层形成,且其中在改变之前,所述表面纹理包括在所述结构的所述顶表面中形成的多个示例性特征;及
向所述结构的所述顶表面施加处理或力,以重新生成包括所述多个示例性特征的所述表面纹理。
11.根据权利要求10所述的方法,其中所述处理或力包括剪切力。
12.根据权利要求10所述的方法,其中所述处理或力包括喷水处理。
13.根据权利要求10所述的方法,其中所述处理或力包括辐射能处理。
14.根据权利要求10所述的方法,其中所述结构包括具有第一材料成分的第一材料域及具有第二材料成分的多个第二材料域,所述第二材料成分不同于所述第一材料成分,且其中向所述结构的所述顶表面施加所述处理或力的步骤以与所述第一材料域不同的速率选择性地移除所述多个第二材料域中的至少一部分。
15.根据权利要求14所述的方法,其中所述处理或力包括水喷射处理或辐射能处理中的至少一者,所述水喷射处理被配置为部分溶解所述第一材料域或所述第二材料域中的至少一者,所述辐射能处理被配置为改变影响所述第一材料域及所述第二材料域中的至少一者的内聚力或粘附力的一种或多种材料性质;所述方法进一步包括以下步骤:在所述水喷射处理或所述辐射能处理的至少一者之后向所述顶表面施加剪切力。
16.一种由多个印刷层形成的结构,所述结构包括:
第一材料域,所述第一材料域包括具有第一材料配方的第一多个子域;及
第二材料域,所述第二材料域包括具有不同于所述第一材料配方的第二材料配方的第二多个子域,其中
所述第二材料域的至少一部分被配置为通过施加到所述结构的顶表面的处理或力以与所述第一材料域不同的速率被选择性地移除,以在所述顶表面上重新生成表面纹理。
17.根据权利要求16所述的结构,其中所述第一材料配方及所述第二材料配方在各自材料域内的材料成分、相对浓度或分布中的至少一个方面不同。
18.根据权利要求16所述的结构,其进一步包含第三材料域,所述第三材料域包含具有不同于所述第一材料配方及所述第二材料配方的第三材料配方的第三多个子域。
19.根据权利要求18所述的结构,其中所述多个印刷层在z方向上堆叠,且其中所述第三材料域包括正交于所述z方向取向的低粘附力层。
20.根据权利要求19所述的结构,其中所述低粘附力层被配置为与所述多个印刷层的周围层分离,以帮助控制所述第一材料域及所述第二材料域的移除深度。
CN202280015948.7A 2021-02-10 2022-01-21 用于原位重新生成表面纹理的使用增材制造处理形成的结构 Pending CN116963870A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/172,152 2021-02-10
US17/172,152 US11878389B2 (en) 2021-02-10 2021-02-10 Structures formed using an additive manufacturing process for regenerating surface texture in situ
PCT/US2022/013403 WO2022173581A1 (en) 2021-02-10 2022-01-21 Structures formed using an additive manufacturing process for regenerating surface texture in situ

Publications (1)

Publication Number Publication Date
CN116963870A true CN116963870A (zh) 2023-10-27

Family

ID=82704378

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280015948.7A Pending CN116963870A (zh) 2021-02-10 2022-01-21 用于原位重新生成表面纹理的使用增材制造处理形成的结构

Country Status (6)

Country Link
US (1) US11878389B2 (zh)
EP (1) EP4291352A1 (zh)
KR (1) KR20230142595A (zh)
CN (1) CN116963870A (zh)
TW (1) TW202245979A (zh)
WO (1) WO2022173581A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11806829B2 (en) * 2020-06-19 2023-11-07 Applied Materials, Inc. Advanced polishing pads and related polishing pad manufacturing methods

Family Cites Families (512)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2001911A (en) 1932-04-21 1935-05-21 Carborundum Co Abrasive articles
US3357598A (en) 1965-09-21 1967-12-12 Dole Valve Co Adjustable liquid dispenser
US3741116A (en) 1970-06-25 1973-06-26 American Screen Process Equip Vacuum belt
US4459779A (en) 1982-09-16 1984-07-17 International Business Machines Corporation Fixed abrasive grinding media
US4575330A (en) 1984-08-08 1986-03-11 Uvp, Inc. Apparatus for production of three-dimensional objects by stereolithography
US4836832A (en) 1986-08-11 1989-06-06 Minnesota Mining And Manufacturing Company Method of preparing coated abrasive having radiation curable binder
US4841680A (en) 1987-08-25 1989-06-27 Rodel, Inc. Inverted cell pad material for grinding, lapping, shaping and polishing
US4942001A (en) 1988-03-02 1990-07-17 Inc. DeSoto Method of forming a three-dimensional object by stereolithography and composition therefore
DE3808951A1 (de) 1988-03-17 1989-10-05 Basf Ag Photopolymerisierbare, zur herstellung von druckformen geeignete druckplatte
US4844144A (en) 1988-08-08 1989-07-04 Desoto, Inc. Investment casting utilizing patterns produced by stereolithography
US5121329A (en) 1989-10-30 1992-06-09 Stratasys, Inc. Apparatus and method for creating three-dimensional objects
DE3942859A1 (de) 1989-12-23 1991-07-04 Basf Ag Verfahren zur herstellung von bauteilen
US5626919A (en) 1990-03-01 1997-05-06 E. I. Du Pont De Nemours And Company Solid imaging apparatus and method with coating station
US5096530A (en) 1990-06-28 1992-03-17 3D Systems, Inc. Resin film recoating method and apparatus
JP2929779B2 (ja) 1991-02-15 1999-08-03 トヨタ自動車株式会社 炭素被膜付撥水ガラス
DE69215439T2 (de) 1991-06-25 1997-05-22 Eastman Kodak Co Photographisches Element, enthaltend eine Spannung absorbierende, schützende Schicht
US5212910A (en) 1991-07-09 1993-05-25 Intel Corporation Composite polishing pad for semiconductor process
US5193316A (en) 1991-10-29 1993-03-16 Texas Instruments Incorporated Semiconductor wafer polishing using a hydrostatic medium
US5287663A (en) 1992-01-21 1994-02-22 National Semiconductor Corporation Polishing pad and method for polishing semiconductor wafers
US5178646A (en) 1992-01-22 1993-01-12 Minnesota Mining And Manufacturing Company Coatable thermally curable binder presursor solutions modified with a reactive diluent, abrasive articles incorporating same, and methods of making said abrasive articles
MY114512A (en) 1992-08-19 2002-11-30 Rodel Inc Polymeric substrate with polymeric microelements
US6022264A (en) 1997-02-10 2000-02-08 Rodel Inc. Polishing pad and methods relating thereto
US6099394A (en) 1998-02-10 2000-08-08 Rodel Holdings, Inc. Polishing system having a multi-phase polishing substrate and methods relating thereto
US6746225B1 (en) 1992-11-30 2004-06-08 Bechtel Bwtx Idaho, Llc Rapid solidification processing system for producing molds, dies and related tooling
BR9307667A (pt) 1992-12-17 1999-08-31 Minnesota Mining & Mfg Suspensão apropriada para uso na produção de artigos abrasivos, abrasivo revestido, e, processo para fabricar um abrasivo revestido
JPH07297195A (ja) 1994-04-27 1995-11-10 Speedfam Co Ltd 半導体装置の平坦化方法及び平坦化装置
US5906863A (en) 1994-08-08 1999-05-25 Lombardi; John Methods for the preparation of reinforced three-dimensional bodies
KR100258802B1 (ko) 1995-02-15 2000-06-15 전주범 평탄화 장치 및 그를 이용한 평탄화 방법
US6719818B1 (en) 1995-03-28 2004-04-13 Applied Materials, Inc. Apparatus and method for in-situ endpoint detection for chemical mechanical polishing operations
US5533923A (en) 1995-04-10 1996-07-09 Applied Materials, Inc. Chemical-mechanical polishing pad providing polishing unformity
US5645471A (en) 1995-08-11 1997-07-08 Minnesota Mining And Manufacturing Company Method of texturing a substrate using an abrasive article having multiple abrasive natures
US5605760A (en) 1995-08-21 1997-02-25 Rodel, Inc. Polishing pads
US5738574A (en) 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
US5905099A (en) 1995-11-06 1999-05-18 Minnesota Mining And Manufacturing Company Heat-activatable adhesive composition
US5609517A (en) 1995-11-20 1997-03-11 International Business Machines Corporation Composite polishing pad
US5624303A (en) 1996-01-22 1997-04-29 Micron Technology, Inc. Polishing pad and a method for making a polishing pad with covalently bonded particles
US5778481A (en) 1996-02-15 1998-07-14 International Business Machines Corporation Silicon wafer cleaning and polishing pads
US5690540A (en) 1996-02-23 1997-11-25 Micron Technology, Inc. Spiral grooved polishing pad for chemical-mechanical planarization of semiconductor wafers
US6090475A (en) 1996-05-24 2000-07-18 Micron Technology Inc. Polishing pad, methods of manufacturing and use
JP3498881B2 (ja) 1996-05-27 2004-02-23 セントラル硝子株式会社 撥水性ガラスの製法
US5976000A (en) 1996-05-28 1999-11-02 Micron Technology, Inc. Polishing pad with incompressible, highly soluble particles for chemical-mechanical planarization of semiconductor wafers
GB2316414B (en) 1996-07-31 2000-10-11 Tosoh Corp Abrasive shaped article, abrasive disc and polishing method
US5795218A (en) 1996-09-30 1998-08-18 Micron Technology, Inc. Polishing pad with elongated microcolumns
US6244575B1 (en) 1996-10-02 2001-06-12 Micron Technology, Inc. Method and apparatus for vaporizing liquid precursors and system for using same
US5876490A (en) 1996-12-09 1999-03-02 International Business Machines Corporatin Polish process and slurry for planarization
KR100210840B1 (ko) 1996-12-24 1999-07-15 구본준 기계 화학적 연마 방법 및 그 장치
US5876268A (en) 1997-01-03 1999-03-02 Minnesota Mining And Manufacturing Company Method and article for the production of optical quality surfaces on glass
EP0984846B1 (en) 1997-01-13 2004-11-24 Rodel, Inc. Method of manufacturing a polymeric polishing pad having photolithographically induced surface pattern
US5965460A (en) 1997-01-29 1999-10-12 Mac Dermid, Incorporated Polyurethane composition with (meth)acrylate end groups useful in the manufacture of polishing pads
US5910471A (en) 1997-03-07 1999-06-08 Minnesota Mining And Manufacturing Company Abrasive article for providing a clear surface finish on glass
US6231629B1 (en) 1997-03-07 2001-05-15 3M Innovative Properties Company Abrasive article for providing a clear surface finish on glass
US5944583A (en) 1997-03-17 1999-08-31 International Business Machines Corporation Composite polish pad for CMP
US6682402B1 (en) 1997-04-04 2004-01-27 Rodel Holdings, Inc. Polishing pads and methods relating thereto
US6062958A (en) 1997-04-04 2000-05-16 Micron Technology, Inc. Variable abrasive polishing pad for mechanical and chemical-mechanical planarization
US6648733B2 (en) 1997-04-04 2003-11-18 Rodel Holdings, Inc. Polishing pads and methods relating thereto
US5940674A (en) 1997-04-09 1999-08-17 Massachusetts Institute Of Technology Three-dimensional product manufacture using masks
CN1258241A (zh) 1997-04-18 2000-06-28 卡伯特公司 用于半导体底物的抛光垫
US6126532A (en) 1997-04-18 2000-10-03 Cabot Corporation Polishing pads for a semiconductor substrate
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US5945058A (en) 1997-05-13 1999-08-31 3D Systems, Inc. Method and apparatus for identifying surface features associated with selected lamina of a three-dimensional object being stereolithographically formed
US5921855A (en) 1997-05-15 1999-07-13 Applied Materials, Inc. Polishing pad having a grooved pattern for use in a chemical mechanical polishing system
US6273806B1 (en) 1997-05-15 2001-08-14 Applied Materials, Inc. Polishing pad having a grooved pattern for use in a chemical mechanical polishing apparatus
US6692338B1 (en) 1997-07-23 2004-02-17 Lsi Logic Corporation Through-pad drainage of slurry during chemical mechanical polishing
US6736714B2 (en) 1997-07-30 2004-05-18 Praxair S.T. Technology, Inc. Polishing silicon wafers
US5919082A (en) 1997-08-22 1999-07-06 Micron Technology, Inc. Fixed abrasive polishing pad
US6121143A (en) 1997-09-19 2000-09-19 3M Innovative Properties Company Abrasive articles comprising a fluorochemical agent for wafer surface modification
US5888121A (en) 1997-09-23 1999-03-30 Lsi Logic Corporation Controlling groove dimensions for enhanced slurry flow
US5932040A (en) 1997-10-01 1999-08-03 Bibielle S.P.A. Method for producing a ring of abrasive elements from which to form a rotary brush
US6231942B1 (en) 1998-01-21 2001-05-15 Trexel, Inc. Method and apparatus for microcellular polypropylene extrusion, and polypropylene articles produced thereby
US6228133B1 (en) 1998-05-01 2001-05-08 3M Innovative Properties Company Abrasive articles having abrasive layer bond system derived from solid, dry-coated binder precursor particles having a fusible, radiation curable component
US6122564A (en) 1998-06-30 2000-09-19 Koch; Justin Apparatus and methods for monitoring and controlling multi-layer laser cladding
US6117000A (en) 1998-07-10 2000-09-12 Cabot Corporation Polishing pad for a semiconductor substrate
US6322728B1 (en) 1998-07-10 2001-11-27 Jeneric/Pentron, Inc. Mass production of dental restorations by solid free-form fabrication methods
US6095902A (en) 1998-09-23 2000-08-01 Rodel Holdings, Inc. Polyether-polyester polyurethane polishing pads and related methods
US6602380B1 (en) 1998-10-28 2003-08-05 Micron Technology, Inc. Method and apparatus for releasably attaching a polishing pad to a chemical-mechanical planarization machine
US6325706B1 (en) 1998-10-29 2001-12-04 Lam Research Corporation Use of zeta potential during chemical mechanical polishing for end point detection
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6390890B1 (en) 1999-02-06 2002-05-21 Charles J Molnar Finishing semiconductor wafers with a fixed abrasive finishing element
US6206759B1 (en) 1998-11-30 2001-03-27 Micron Technology, Inc. Polishing pads and planarizing machines for mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies, and methods for making and using such pads and machines
US7425250B2 (en) 1998-12-01 2008-09-16 Novellus Systems, Inc. Electrochemical mechanical processing apparatus
EP1161322A4 (en) 1999-01-21 2003-09-24 Rodel Inc IMPROVED POLISHING CUSHIONS AND RELATED METHODS
US6994607B2 (en) 2001-12-28 2006-02-07 Applied Materials, Inc. Polishing pad with window
US6179709B1 (en) 1999-02-04 2001-01-30 Applied Materials, Inc. In-situ monitoring of linear substrate polishing operations
US6641463B1 (en) 1999-02-06 2003-11-04 Beaver Creek Concepts Inc Finishing components and elements
US6749714B1 (en) 1999-03-30 2004-06-15 Nikon Corporation Polishing body, polisher, polishing method, and method for producing semiconductor device
US6217426B1 (en) 1999-04-06 2001-04-17 Applied Materials, Inc. CMP polishing pad
JP2000301450A (ja) 1999-04-19 2000-10-31 Rohm Co Ltd Cmp研磨パッドおよびそれを用いたcmp処理装置
US6213845B1 (en) 1999-04-26 2001-04-10 Micron Technology, Inc. Apparatus for in-situ optical endpointing on web-format planarizing machines in mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies and methods for making and using same
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6328634B1 (en) 1999-05-11 2001-12-11 Rodel Holdings Inc. Method of polishing
US6196899B1 (en) 1999-06-21 2001-03-06 Micron Technology, Inc. Polishing apparatus
US6319108B1 (en) 1999-07-09 2001-11-20 3M Innovative Properties Company Metal bond abrasive article comprising porous ceramic abrasive composites and method of using same to abrade a workpiece
US6328632B1 (en) 1999-08-31 2001-12-11 Micron Technology, Inc. Polishing pads and planarizing machines for mechanical and/or chemical-mechanical planarization of microelectronic substrate assemblies
US6257973B1 (en) 1999-11-04 2001-07-10 Norton Company Coated abrasive discs
US6399501B2 (en) 1999-12-13 2002-06-04 Applied Materials, Inc. Method and apparatus for detecting polishing endpoint with optical monitoring
KR20020072548A (ko) 1999-12-14 2002-09-16 로델 홀딩스 인코포레이티드 중합체 연마 패드 또는 중합체 복합재 연마 패드의 제조방법
US6368184B1 (en) 2000-01-06 2002-04-09 Advanced Micro Devices, Inc. Apparatus for determining metal CMP endpoint using integrated polishing pad electrodes
US6241596B1 (en) 2000-01-14 2001-06-05 Applied Materials, Inc. Method and apparatus for chemical mechanical polishing using a patterned pad
US6506097B1 (en) 2000-01-18 2003-01-14 Applied Materials, Inc. Optical monitoring in a two-step chemical mechanical polishing process
WO2001053040A1 (en) 2000-01-19 2001-07-26 Rodel Holdings, Inc. Printing of polishing pads
US7071041B2 (en) 2000-01-20 2006-07-04 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6746311B1 (en) 2000-01-24 2004-06-08 3M Innovative Properties Company Polishing pad with release layer
US6309276B1 (en) 2000-02-01 2001-10-30 Applied Materials, Inc. Endpoint monitoring with polishing rate change
US6991528B2 (en) 2000-02-17 2006-01-31 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20010046834A1 (en) 2000-02-28 2001-11-29 Anuradha Ramana Pad surface texture formed by solid phase droplets
US6797623B2 (en) 2000-03-09 2004-09-28 Sony Corporation Methods of producing and polishing semiconductor device and polishing apparatus
US7300619B2 (en) 2000-03-13 2007-11-27 Objet Geometries Ltd. Compositions and methods for use in three dimensional model printing
US20030207959A1 (en) 2000-03-13 2003-11-06 Eduardo Napadensky Compositions and methods for use in three dimensional model printing
US8481241B2 (en) 2000-03-13 2013-07-09 Stratasys Ltd. Compositions and methods for use in three dimensional model printing
US6569373B2 (en) 2000-03-13 2003-05-27 Object Geometries Ltd. Compositions and methods for use in three dimensional model printing
JP4634688B2 (ja) 2000-03-15 2011-02-16 ローム アンド ハース エレクトロニック マテリアルズ シーエムピー ホウルディングス インコーポレイテッド 調節された摩耗速度を有する窓部
EP1268165B1 (en) 2000-03-24 2004-10-06 GENERIS GmbH Method and apparatus for manufacturing a structural part by a multi-layer deposition technique, and mold or core as manufactured by the method
US6313038B1 (en) 2000-04-26 2001-11-06 Micron Technology, Inc. Method and apparatus for controlling chemical interactions during planarization of microelectronic substrates
US20020058468A1 (en) 2000-05-03 2002-05-16 Eppert Stanley E. Semiconductor polishing pad
US6387289B1 (en) 2000-05-04 2002-05-14 Micron Technology, Inc. Planarizing machines and methods for mechanical and/or chemical-mechanical planarization of microelectronic-device substrate assemblies
US6267641B1 (en) 2000-05-19 2001-07-31 Motorola, Inc. Method of manufacturing a semiconductor component and chemical-mechanical polishing system therefor
US8485862B2 (en) 2000-05-19 2013-07-16 Applied Materials, Inc. Polishing pad for endpoint detection and related methods
US6736709B1 (en) 2000-05-27 2004-05-18 Rodel Holdings, Inc. Grooved polishing pads for chemical mechanical planarization
US6749485B1 (en) 2000-05-27 2004-06-15 Rodel Holdings, Inc. Hydrolytically stable grooved polishing pads for chemical mechanical planarization
US6860802B1 (en) 2000-05-27 2005-03-01 Rohm And Haas Electric Materials Cmp Holdings, Inc. Polishing pads for chemical mechanical planarization
US6454634B1 (en) 2000-05-27 2002-09-24 Rodel Holdings Inc. Polishing pads for chemical mechanical planarization
JP3925041B2 (ja) 2000-05-31 2007-06-06 Jsr株式会社 研磨パッド用組成物及びこれを用いた研磨パッド
EP1295682B1 (en) 2000-05-31 2007-10-24 JSR Corporation Abrasive material
US6478914B1 (en) 2000-06-09 2002-11-12 Micron Technology, Inc. Method for attaching web-based polishing materials together on a polishing tool
US6656019B1 (en) 2000-06-29 2003-12-02 International Business Machines Corporation Grooved polishing pads and methods of use
US20020016139A1 (en) 2000-07-25 2002-02-07 Kazuto Hirokawa Polishing tool and manufacturing method therefor
US6520834B1 (en) 2000-08-09 2003-02-18 Micron Technology, Inc. Methods and apparatuses for analyzing and controlling performance parameters in mechanical and chemical-mechanical planarization of microelectronic substrates
US6776699B2 (en) 2000-08-14 2004-08-17 3M Innovative Properties Company Abrasive pad for CMP
US6736869B1 (en) 2000-08-28 2004-05-18 Micron Technology, Inc. Method for forming a planarizing pad for planarization of microelectronic substrates
US6592443B1 (en) 2000-08-30 2003-07-15 Micron Technology, Inc. Method and apparatus for forming and using planarizing pads for mechanical and chemical-mechanical planarization of microelectronic substrates
JP3886712B2 (ja) 2000-09-08 2007-02-28 シャープ株式会社 半導体装置の製造方法
US6477926B1 (en) 2000-09-15 2002-11-12 Ppg Industries Ohio, Inc. Polishing pad
US6641471B1 (en) 2000-09-19 2003-11-04 Rodel Holdings, Inc Polishing pad having an advantageous micro-texture and methods relating thereto
DE60143948D1 (de) 2000-09-29 2011-03-10 Strasbaugh Inc Polierkissen mit eingebautem optischem sensor
CA2425945C (en) 2000-11-09 2010-01-26 3M Innovative Properties Company Weather resistant, ink jettable, radiation curable, fluid compositions particularly suitable for outdoor applications
US6684704B1 (en) 2002-09-12 2004-02-03 Psiloquest, Inc. Measuring the surface properties of polishing pads using ultrasonic reflectance
US7192340B2 (en) 2000-12-01 2007-03-20 Toyo Tire & Rubber Co., Ltd. Polishing pad, method of producing the same, and cushion layer for polishing pad
JP2002200555A (ja) 2000-12-28 2002-07-16 Ebara Corp 研磨工具および該研磨工具を具備したポリッシング装置
GB0103754D0 (en) 2001-02-15 2001-04-04 Vantico Ltd Three-dimensional structured printing
US20020112632A1 (en) 2001-02-21 2002-08-22 Creo Ltd Method for supporting sensitive workpieces during processing
US6840843B2 (en) 2001-03-01 2005-01-11 Cabot Microelectronics Corporation Method for manufacturing a polishing pad having a compressed translucent region
US6811680B2 (en) 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US7955693B2 (en) 2001-04-20 2011-06-07 Tolland Development Company, Llc Foam composition roller brush with embedded mandrel
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6811937B2 (en) 2001-06-21 2004-11-02 Dsm Desotech, Inc. Radiation-curable resin composition and rapid prototyping process using the same
US6544373B2 (en) 2001-07-26 2003-04-08 United Microelectronics Corp. Polishing pad for a chemical mechanical polishing process
US6586494B2 (en) 2001-08-08 2003-07-01 Spectra Group Limited, Inc. Radiation curable inkjet composition
KR100646702B1 (ko) 2001-08-16 2006-11-17 에스케이씨 주식회사 홀 및/또는 그루브로 형성된 화학적 기계적 연마패드
US6866807B2 (en) 2001-09-21 2005-03-15 Stratasys, Inc. High-precision modeling filament
US6599765B1 (en) 2001-12-12 2003-07-29 Lam Research Corporation Apparatus and method for providing a signal port in a polishing pad for optical endpoint detection
US6838149B2 (en) 2001-12-13 2005-01-04 3M Innovative Properties Company Abrasive article for the deposition and polishing of a conductive material
JP2003188124A (ja) 2001-12-14 2003-07-04 Rodel Nitta Co 研磨布
EP1326273B1 (en) 2001-12-28 2012-01-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US20030134581A1 (en) 2002-01-11 2003-07-17 Wang Hsing Maw Device for chemical mechanical polishing
KR100442873B1 (ko) 2002-02-28 2004-08-02 삼성전자주식회사 화학적 기계적 폴리싱 슬러리 및 이를 사용한 화학적기계적 폴리싱 방법
US6773474B2 (en) 2002-04-19 2004-08-10 3M Innovative Properties Company Coated abrasive article
US6815570B1 (en) 2002-05-07 2004-11-09 Uop Llc Shaped catalysts for transalkylation of aromatics for enhanced xylenes production
US20050194681A1 (en) 2002-05-07 2005-09-08 Yongqi Hu Conductive pad with high abrasion
US6913517B2 (en) 2002-05-23 2005-07-05 Cabot Microelectronics Corporation Microporous polishing pads
US20050276967A1 (en) 2002-05-23 2005-12-15 Cabot Microelectronics Corporation Surface textured microporous polishing pads
DE60308946T2 (de) 2002-06-03 2007-05-10 Jsr Corp. Polierkissen und Verfahren zur Herstellung eines Polierkissens
DE10224981B4 (de) 2002-06-05 2004-08-19 Generis Gmbh Verfahren zum schichtweisen Aufbau von Modellen
US8602851B2 (en) 2003-06-09 2013-12-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Controlled penetration subpad
EP1375617A1 (en) 2002-06-19 2004-01-02 3M Innovative Properties Company Radiation-curable, solvent-free and printable precursor of a pressure-sensitive adhesive
US7169014B2 (en) 2002-07-18 2007-01-30 Micron Technology, Inc. Apparatuses for controlling the temperature of polishing pads used in planarizing micro-device workpieces
KR101016081B1 (ko) 2002-07-26 2011-02-17 닛토덴코 가부시키가이샤 점착 시트와 그의 제조방법, 상기 점착 시트의 사용방법,및 상기 점착 시트에 사용되는 다층 시트와 그의 제조방법
TWI228768B (en) 2002-08-08 2005-03-01 Jsr Corp Processing method of polishing pad for semiconductor wafer and polishing pad for semiconductor wafer
KR100465649B1 (ko) 2002-09-17 2005-01-13 한국포리올 주식회사 일체형 연마 패드 및 그 제조 방법
US7579071B2 (en) 2002-09-17 2009-08-25 Korea Polyol Co., Ltd. Polishing pad containing embedded liquid microelements and method of manufacturing the same
US20040058623A1 (en) 2002-09-20 2004-03-25 Lam Research Corporation Polishing media for chemical mechanical planarization (CMP)
US7267607B2 (en) 2002-10-28 2007-09-11 Cabot Microelectronics Corporation Transparent microporous materials for CMP
US7311862B2 (en) 2002-10-28 2007-12-25 Cabot Microelectronics Corporation Method for manufacturing microporous CMP materials having controlled pore size
US7435165B2 (en) 2002-10-28 2008-10-14 Cabot Microelectronics Corporation Transparent microporous materials for CMP
AU2003278047A1 (en) 2002-10-31 2004-05-25 Stephen F. Corbin System and method for closed-loop control of laser cladding by powder injection
JP2004153193A (ja) 2002-11-01 2004-05-27 Disco Abrasive Syst Ltd 半導体ウエーハの処理方法
KR101047933B1 (ko) 2002-11-27 2011-07-11 도요 고무 고교 가부시키가이샤 연마 패드 및 반도체 장치의 제조 방법
JP4659338B2 (ja) 2003-02-12 2011-03-30 Hoya株式会社 情報記録媒体用ガラス基板の製造方法並びにそれに使用する研磨パッド
US7498394B2 (en) 2003-02-24 2009-03-03 The Regents Of The University Of Colorado (Meth)acrylic and (meth)acrylamide monomers, polymerizable compositions, and polymers obtained
DE10310385B4 (de) 2003-03-07 2006-09-21 Daimlerchrysler Ag Verfahren zur Herstellung von dreidimensionalen Körpern mittels pulverbasierter schichtaufbauender Verfahren
US7104773B2 (en) 2003-03-07 2006-09-12 Ricoh Printing Systems, Ltd. Three-dimensional laminating molding device
US7704125B2 (en) 2003-03-24 2010-04-27 Nexplanar Corporation Customized polishing pads for CMP and methods of fabrication and use thereof
US7377840B2 (en) 2004-07-21 2008-05-27 Neopad Technologies Corporation Methods for producing in-situ grooves in chemical mechanical planarization (CMP) pads, and novel CMP pad designs
US20060189269A1 (en) 2005-02-18 2006-08-24 Roy Pradip K Customized polishing pads for CMP and methods of fabrication and use thereof
US8864859B2 (en) 2003-03-25 2014-10-21 Nexplanar Corporation Customized polishing pads for CMP and methods of fabrication and use thereof
SG185141A1 (en) 2003-03-25 2012-11-29 Neopad Technologies Corp Customized polish pads for chemical mechanical planarization
US9278424B2 (en) 2003-03-25 2016-03-08 Nexplanar Corporation Customized polishing pads for CMP and methods of fabrication and use thereof
US7044836B2 (en) 2003-04-21 2006-05-16 Cabot Microelectronics Corporation Coated metal oxide particles for CMP
CN100548576C (zh) 2003-04-25 2009-10-14 Jsr株式会社 抛光垫和化学机械抛光方法
US6783436B1 (en) 2003-04-29 2004-08-31 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad with optimized grooves and method of forming same
TW200517478A (en) 2003-05-09 2005-06-01 Sanyo Chemical Ind Ltd Polishing liquid for CMP process and polishing method
WO2004113042A2 (en) 2003-05-21 2004-12-29 Z Corporation Thermoplastic powder material system for appearance models from 3d printing systems
IL156094A0 (en) 2003-05-25 2003-12-23 J G Systems Inc Fixed abrasive cmp pad with built-in additives
US6998166B2 (en) 2003-06-17 2006-02-14 Cabot Microelectronics Corporation Polishing pad with oriented pore structure
US7435161B2 (en) 2003-06-17 2008-10-14 Cabot Microelectronics Corporation Multi-layer polishing pad material for CMP
JP4130614B2 (ja) 2003-06-18 2008-08-06 株式会社東芝 半導体装置の製造方法
US7018560B2 (en) 2003-08-05 2006-03-28 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Composition for polishing semiconductor layers
US20050032464A1 (en) 2003-08-07 2005-02-10 Swisher Robert G. Polishing pad having edge surface treatment
EP1680260B1 (en) 2003-08-08 2014-04-30 Entegris, Inc. Methods and materials for making a monolithic porous pad cast onto a rotatable base
US7120512B2 (en) 2003-08-25 2006-10-10 Hewlett-Packard Development Company, L.P. Method and a system for solid freeform fabricating using non-reactive powder
WO2005021248A1 (ja) 2003-08-27 2005-03-10 Fuji Photo Film Co., Ltd. 三次元造形物の製造方法
KR100590202B1 (ko) 2003-08-29 2006-06-15 삼성전자주식회사 연마 패드 및 그 형성방법
JP2005093785A (ja) 2003-09-18 2005-04-07 Toshiba Corp Cmp用スラリー、研磨方法、および半導体装置の製造方法
KR100640998B1 (ko) 2003-09-19 2006-11-02 엘지.필립스 엘시디 주식회사 액정표시장치용 브라켓 구조
US6855588B1 (en) 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device
GB0323462D0 (en) 2003-10-07 2003-11-05 Fujifilm Electronic Imaging Providing a surface layer or structure on a substrate
US20050109371A1 (en) 2003-10-27 2005-05-26 Applied Materials, Inc. Post CMP scrubbing of substrates
JP2005131732A (ja) 2003-10-30 2005-05-26 Ebara Corp 研磨装置
WO2005043132A1 (en) 2003-10-31 2005-05-12 Applied Materials, Inc. Polishing endpoint detection system and method using friction sensor
US7264641B2 (en) 2003-11-10 2007-09-04 Cabot Microelectronics Corporation Polishing pad comprising biodegradable polymer
US20050101228A1 (en) 2003-11-10 2005-05-12 Cabot Microelectronics Corporation Polishing pad comprising biodegradable polymer
JP2005150235A (ja) 2003-11-12 2005-06-09 Three M Innovative Properties Co 半導体表面保護シート及び方法
US7125318B2 (en) 2003-11-13 2006-10-24 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad having a groove arrangement for reducing slurry consumption
US6984163B2 (en) 2003-11-25 2006-01-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad with high optical transmission window
JP4555559B2 (ja) 2003-11-25 2010-10-06 富士紡ホールディングス株式会社 研磨布及び研磨布の製造方法
US7186164B2 (en) 2003-12-03 2007-03-06 Applied Materials, Inc. Processing pad assembly with zone control
US6843711B1 (en) 2003-12-11 2005-01-18 Rohm And Haas Electronic Materials Cmp Holdings, Inc Chemical mechanical polishing pad having a process-dependent groove configuration
US20050153634A1 (en) 2004-01-09 2005-07-14 Cabot Microelectronics Corporation Negative poisson's ratio material-containing CMP polishing pad
US20050171224A1 (en) 2004-02-03 2005-08-04 Kulp Mary J. Polyurethane polishing pad
US7132033B2 (en) 2004-02-27 2006-11-07 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of forming a layered polishing pad
CN1926666A (zh) 2004-03-11 2007-03-07 东洋橡胶工业株式会社 研磨垫及半导体器件的制造方法
US20050208234A1 (en) 2004-03-19 2005-09-22 Agfa-Gevaert Ink-jet recording material
US7195544B2 (en) 2004-03-23 2007-03-27 Cabot Microelectronics Corporation CMP porous pad with component-filled pores
US7204742B2 (en) 2004-03-25 2007-04-17 Cabot Microelectronics Corporation Polishing pad comprising hydrophobic region and endpoint detection port
US6955588B1 (en) 2004-03-31 2005-10-18 Lam Research Corporation Method of and platen for controlling removal rate characteristics in chemical mechanical planarization
US20050227590A1 (en) 2004-04-09 2005-10-13 Chien-Min Sung Fixed abrasive tools and associated methods
TWI293266B (en) 2004-05-05 2008-02-11 Iv Technologies Co Ltd A single-layer polishing pad and a method of producing the same
WO2005114322A2 (en) 2004-05-12 2005-12-01 Massachusetts Institute Of Technology Manufacturing process, such as three-dimensional printing, including solvent vapor filming and the like
US20050260939A1 (en) 2004-05-18 2005-11-24 Saint-Gobain Abrasives, Inc. Brazed diamond dressing tool
US7926521B2 (en) 2004-05-20 2011-04-19 Bridgestone Corporation Sealing agent injecting apparatus, sealing agent injecting method and sealing pump up apparatus
US20050261150A1 (en) 2004-05-21 2005-11-24 Battelle Memorial Institute, A Part Interest Reactive fluid systems for removing deposition materials and methods for using same
US7438795B2 (en) 2004-06-10 2008-10-21 Cabot Microelectronics Corp. Electrochemical-mechanical polishing system
US7252871B2 (en) 2004-06-16 2007-08-07 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad having a pressure relief channel
US7582127B2 (en) 2004-06-16 2009-09-01 Cabot Microelectronics Corporation Polishing composition for a tungsten-containing substrate
EP1758711B1 (en) 2004-06-21 2013-08-07 Ebara Corporation Polishing apparatus and polishing method
JP4133945B2 (ja) 2004-06-28 2008-08-13 住友ゴム工業株式会社 タイヤのパンクシーリング剤送給、抜取り装置
US7709053B2 (en) 2004-07-29 2010-05-04 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of manufacturing of polymer-coated particles for chemical mechanical polishing
US7939003B2 (en) 2004-08-11 2011-05-10 Cornell Research Foundation, Inc. Modular fabrication systems and methods
US7153191B2 (en) 2004-08-20 2006-12-26 Micron Technology, Inc. Polishing liquids for activating and/or conditioning fixed abrasive polishing pads, and associated systems and methods
US8075372B2 (en) 2004-09-01 2011-12-13 Cabot Microelectronics Corporation Polishing pad with microporous regions
DE102004042911A1 (de) 2004-09-02 2006-03-09 Michael Stehle Vorrichtung zum Ausbringen von Luft- und/oder Reifendichtmittel
US20060079159A1 (en) 2004-10-08 2006-04-13 Markus Naujok Chemical mechanical polish with multi-zone abrasive-containing matrix
US20060096179A1 (en) 2004-11-05 2006-05-11 Cabot Microelectronics Corporation CMP composition containing surface-modified abrasive particles
US7815778B2 (en) 2005-11-23 2010-10-19 Semiquest Inc. Electro-chemical mechanical planarization pad with uniform polish performance
WO2006057713A2 (en) 2004-11-29 2006-06-01 Rajeev Bajaj Electro-method and apparatus for improved chemical mechanical planarization pad with uniform polish performance
WO2006057720A1 (en) 2004-11-29 2006-06-01 Rajeev Bajaj Method and apparatus for improved chemical mechanical planarization pad with pressure control and process monitor
US7846008B2 (en) 2004-11-29 2010-12-07 Semiquest Inc. Method and apparatus for improved chemical mechanical planarization and CMP pad
US7871309B2 (en) 2004-12-10 2011-01-18 Toyo Tire & Rubber Co., Ltd. Polishing pad
US7059949B1 (en) 2004-12-14 2006-06-13 Rohm And Haas Electronic Materials Cmp Holdings, Inc. CMP pad having an overlapping stepped groove arrangement
US7059950B1 (en) 2004-12-14 2006-06-13 Rohm And Haas Electronic Materials Cmp Holdings, Inc. CMP polishing pad having grooves arranged to improve polishing medium utilization
US7182677B2 (en) 2005-01-14 2007-02-27 Applied Materials, Inc. Chemical mechanical polishing pad for controlling polishing slurry distribution
TWI385050B (zh) 2005-02-18 2013-02-11 Nexplanar Corp 用於cmp之特製拋光墊及其製造方法及其用途
US7524345B2 (en) 2005-02-22 2009-04-28 Saint-Gobain Abrasives, Inc. Rapid tooling system and methods for manufacturing abrasive articles
US7875091B2 (en) 2005-02-22 2011-01-25 Saint-Gobain Abrasives, Inc. Rapid tooling system and methods for manufacturing abrasive articles
US7829000B2 (en) 2005-02-25 2010-11-09 Hewlett-Packard Development Company, L.P. Core-shell solid freeform fabrication
TWI410314B (zh) 2005-04-06 2013-10-01 羅門哈斯電子材料Cmp控股公司 藉由反應-射出成形製造多孔化學機械研磨墊之裝置
US7427340B2 (en) 2005-04-08 2008-09-23 Applied Materials, Inc. Conductive pad
US7435364B2 (en) 2005-04-11 2008-10-14 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method for forming a porous polishing pad
US8398466B2 (en) 2006-11-16 2013-03-19 Chien-Min Sung CMP pad conditioners with mosaic abrasive segments and associated methods
US8393934B2 (en) 2006-11-16 2013-03-12 Chien-Min Sung CMP pad dressers with hybridized abrasive surface and related methods
US8304467B2 (en) 2005-05-17 2012-11-06 Toyo Tire & Rubber Co., Ltd. Polishing pad
KR100721196B1 (ko) 2005-05-24 2007-05-23 주식회사 하이닉스반도체 연마패드 및 이를 이용한 화학적기계적연마장치
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
KR100727485B1 (ko) 2005-08-09 2007-06-13 삼성전자주식회사 연마 패드 및 이를 제조하는 방법, 그리고 화학적 기계적 연마 장치 및 방법
US20070117393A1 (en) 2005-11-21 2007-05-24 Alexander Tregub Hardened porous polymer chemical mechanical polishing (CMP) pad
JP4868840B2 (ja) 2005-11-30 2012-02-01 Jsr株式会社 半導体装置の製造方法
US20070128991A1 (en) 2005-12-07 2007-06-07 Yoon Il-Young Fixed abrasive polishing pad, method of preparing the same, and chemical mechanical polishing apparatus including the same
TW200744786A (en) 2005-12-28 2007-12-16 Jsr Corp Chemical mechanical polishing pad and chemical mechanical polishing method
WO2007086529A1 (ja) 2006-01-25 2007-08-02 Jsr Corporation 化学機械研磨パッドおよびその製造方法
US7935276B2 (en) 2006-02-09 2011-05-03 Headwaters Technology Innovation Llc Polymeric materials incorporating carbon nanostructures
JP5237123B2 (ja) 2006-02-23 2013-07-17 ピコデオン エルティーディー オイ プラスチック基材の塗装方法及び塗装されたプラスチック製品
US20070204420A1 (en) 2006-03-06 2007-09-06 Hornby David M Polishing pad and method of making
US7517488B2 (en) 2006-03-08 2009-04-14 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of forming a chemical mechanical polishing pad utilizing laser sintering
WO2007104063A1 (en) 2006-03-09 2007-09-13 Rimpad Tech Ltd. Composite polishing pad
US8691116B2 (en) 2006-03-24 2014-04-08 Clemson University Conducting polymer ink
US20070235133A1 (en) 2006-03-29 2007-10-11 Strasbaugh Devices and methods for measuring wafer characteristics during semiconductor wafer polishing
US20070235904A1 (en) 2006-04-06 2007-10-11 Saikin Alan H Method of forming a chemical mechanical polishing pad utilizing laser sintering
FR2900411B1 (fr) 2006-04-27 2008-08-29 Coatex Sas Procede de traitement de matieres minerales par des polymeres amphoteres,matieres minerales obtenues,leur utilisation comme agent reducteur de la quantite de colloides dans la fabrication de papier.
US7169030B1 (en) 2006-05-25 2007-01-30 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad
US7445847B2 (en) 2006-05-25 2008-11-04 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad
EP2032345B1 (en) 2006-06-20 2010-05-05 Katholieke Universiteit Leuven Procedure and apparatus for in-situ monitoring and feedback control of selective laser powder processing
US7840305B2 (en) 2006-06-28 2010-11-23 3M Innovative Properties Company Abrasive articles, CMP monitoring system and method
US20080220702A1 (en) 2006-07-03 2008-09-11 Sang Fang Chemical Industry Co., Ltd. Polishing pad having surface texture
JP5186738B2 (ja) 2006-07-10 2013-04-24 富士通セミコンダクター株式会社 研磨パッドの製造方法及び被研磨体の研磨方法
TWI409136B (zh) 2006-07-19 2013-09-21 Innopad Inc 表面具微溝槽之化學機械平坦化墊
KR100804275B1 (ko) 2006-07-24 2008-02-18 에스케이씨 주식회사 고분자 쉘로 둘러싸인 액상 유기물 코어를 포함하는 cmp연마패드 및 그 제조방법
US7267610B1 (en) 2006-08-30 2007-09-11 Rohm And Haas Electronic Materials Cmp Holdings, Inc. CMP pad having unevenly spaced grooves
US7300340B1 (en) 2006-08-30 2007-11-27 Rohm and Haas Electronics Materials CMP Holdings, Inc. CMP pad having overlaid constant area spiral grooves
KR101391029B1 (ko) 2006-09-06 2014-04-30 니타 하스 인코포레이티드 연마 패드
JP2008084504A (ja) 2006-09-29 2008-04-10 Hitachi Ltd 光ディスク装置および光ディスクの再生方法
US7382959B1 (en) 2006-10-13 2008-06-03 Hrl Laboratories, Llc Optically oriented three-dimensional polymer microstructures
US7234224B1 (en) 2006-11-03 2007-06-26 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Curved grooving of polishing pads
US7648645B2 (en) 2006-11-08 2010-01-19 3M Innovative Properties Company Pre-polymer formulations for liquid crystal displays
EP2097247B1 (en) 2006-12-21 2016-03-09 Agfa Graphics NV 3d-inkjet printing methods
US7438636B2 (en) 2006-12-21 2008-10-21 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad
US7371160B1 (en) 2006-12-21 2008-05-13 Rohm And Haas Electronic Materials Cmp Holdings Inc. Elastomer-modified chemical mechanical polishing pad
US8083820B2 (en) 2006-12-22 2011-12-27 3M Innovative Properties Company Structured fixed abrasive articles including surface treated nano-ceria filler, and method for making and using the same
US7497885B2 (en) 2006-12-22 2009-03-03 3M Innovative Properties Company Abrasive articles with nanoparticulate fillers and method for making and using them
US7311590B1 (en) 2007-01-31 2007-12-25 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad with grooves to retain slurry on the pad texture
US7520798B2 (en) 2007-01-31 2009-04-21 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad with grooves to reduce slurry consumption
TWI432285B (zh) 2007-02-01 2014-04-01 Kuraray Co 研磨墊及研磨墊之製法
CN101675531B (zh) 2007-02-16 2013-03-06 纳克公司 太阳能电池结构、光生伏打模块及对应的工艺
TWI349596B (en) 2007-03-20 2011-10-01 Kuraray Co Cushion for polishing pad and polishing pad using the same
JP4954762B2 (ja) 2007-03-27 2012-06-20 東洋ゴム工業株式会社 ポリウレタン発泡体の製造方法
US8784723B2 (en) 2007-04-01 2014-07-22 Stratasys Ltd. Method and system for three-dimensional fabrication
US20090011679A1 (en) 2007-04-06 2009-01-08 Rajeev Bajaj Method of removal profile modulation in cmp pads
FR2915016B1 (fr) 2007-04-10 2009-06-05 Siemens Vdo Automotive Sas Systeme de creation automatisee d'une interface logicielle
US8067814B2 (en) 2007-06-01 2011-11-29 Panasonic Corporation Semiconductor device and method of manufacturing the same
JP5363470B2 (ja) 2007-06-08 2013-12-11 アプライド マテリアルズ インコーポレイテッド 窓付きの薄い研磨パッド及び成形プロセス
US7455571B1 (en) 2007-06-20 2008-11-25 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Window polishing pad
US20080314878A1 (en) 2007-06-22 2008-12-25 General Electric Company Apparatus and method for controlling a machining system
US7862320B2 (en) 2007-07-17 2011-01-04 Seiko Epson Corporation Three-dimensional object forming apparatus and method for forming three dimensional object
US8047899B2 (en) 2007-07-26 2011-11-01 Macronix International Co., Ltd. Pad and method for chemical mechanical polishing
US7635290B2 (en) 2007-08-15 2009-12-22 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Interpenetrating network for chemical mechanical polishing
US7828634B2 (en) 2007-08-16 2010-11-09 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Interconnected-multi-element-lattice polishing pad
US7517277B2 (en) 2007-08-16 2009-04-14 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Layered-filament lattice for chemical mechanical polishing
CN101376234B (zh) 2007-08-28 2013-05-29 侯家祥 一种研磨工具磨料颗粒有序排列的方法
KR20100082770A (ko) 2007-09-03 2010-07-19 세미퀘스트, 인코포레이티드 폴리싱 패드
KR101232442B1 (ko) 2007-09-21 2013-02-12 캐보트 마이크로일렉트로닉스 코포레이션 아미노실란으로 처리된 연마제 입자를 이용한 연마 조성물 및 방법
US8142869B2 (en) 2007-09-27 2012-03-27 Toyoda Gosei Co., Ltd. Coated base fabric for airbags
JP5078527B2 (ja) 2007-09-28 2012-11-21 富士紡ホールディングス株式会社 研磨布
FR2921667B1 (fr) 2007-10-01 2012-11-09 Saint Gobain Abrasives Inc Composition resinique liquide pour articles abrasifs
US8491360B2 (en) 2007-10-26 2013-07-23 Innopad, Inc. Three-dimensional network in CMP pad
TW200941582A (en) 2007-10-29 2009-10-01 Ekc Technology Inc Methods of post chemical mechanical polishing and wafer cleaning using amidoxime compositions
JP2009129970A (ja) 2007-11-20 2009-06-11 Ebara Corp 研磨装置及び研磨方法
DE102007056984A1 (de) 2007-11-27 2009-05-28 Eos Gmbh Electro Optical Systems Verfahren zum Herstellen eines dreidimensionalen Objekts mittels Lasersintern
US8377623B2 (en) 2007-11-27 2013-02-19 3D Systems, Inc. Photocurable resin composition for producing three dimensional articles having high clarity
EP2242615A4 (en) 2007-12-31 2013-10-30 Innopad Inc CHIMIOMECHANICAL FLOOD BUFFER
WO2009088606A2 (en) 2007-12-31 2009-07-16 3M Innovative Properties Company Plasma treated abrasive article and method of making same
US9180570B2 (en) 2008-03-14 2015-11-10 Nexplanar Corporation Grooved CMP pad
CN101977755A (zh) 2008-03-25 2011-02-16 住友橡胶工业株式会社 轮胎穿孔修补装置
US8292592B2 (en) 2008-04-02 2012-10-23 United Technologies Corporation Nosecone bolt access and aerodynamic leakage baffle
WO2009126171A1 (en) 2008-04-11 2009-10-15 Innopad, Inc. Chemical mechanical planarization pad with void network
US8177603B2 (en) 2008-04-29 2012-05-15 Semiquest, Inc. Polishing pad composition
EP2305454B1 (en) 2008-05-26 2017-03-22 Sony Corporation Shaping apparatus and shaping method
US20090308739A1 (en) 2008-06-17 2009-12-17 Applied Materials, Inc. Wafer processing deposition shielding components
CN102131618A (zh) 2008-06-26 2011-07-20 3M创新有限公司 具有多孔单元的抛光垫以及制造和使用该抛光垫的方法
US8282866B2 (en) 2008-06-30 2012-10-09 Seiko Epson Corporation Method and device for forming three-dimensional model, sheet material processing method, and sheet material processing device
US20100011672A1 (en) 2008-07-16 2010-01-21 Kincaid Don H Coated abrasive article and method of making and using the same
CN102159361B (zh) 2008-07-18 2014-11-05 3M创新有限公司 具有浮动单元的抛光垫以及制造和使用该抛光垫的方法
CN102119069B (zh) 2008-08-08 2015-04-15 可乐丽股份有限公司 抛光垫及抛光垫的制造方法
KR101678114B1 (ko) 2008-09-26 2016-11-21 로디아 오퍼레이션스 화학적 기계적 폴리싱용 연마제 조성물 및 그의 이용 방법
US8118641B2 (en) 2009-03-04 2012-02-21 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad having window with integral identification feature
US20100112919A1 (en) 2008-11-03 2010-05-06 Applied Materials, Inc. Monolithic linear polishing sheet
US8292692B2 (en) 2008-11-26 2012-10-23 Semiquest, Inc. Polishing pad with endpoint window and systems and method using the same
DE102008060046A1 (de) 2008-12-02 2010-06-10 Eos Gmbh Electro Optical Systems Verfahren zum Bereitstellen einer identifizierbaren Pulvermenge und Verfahren zur Herstellung eines Objekts
US20100140850A1 (en) 2008-12-04 2010-06-10 Objet Geometries Ltd. Compositions for 3D printing
DE102008061311A1 (de) 2008-12-11 2010-06-24 Doukas Ag Vorrichtung zum Fördern eines Gases
US8057282B2 (en) 2008-12-23 2011-11-15 Rohm And Haas Electronic Materials Cmp Holdings, Inc. High-rate polishing method
US8062103B2 (en) 2008-12-23 2011-11-22 Rohm And Haas Electronic Materials Cmp Holdings, Inc. High-rate groove pattern
CN102301455A (zh) 2009-01-27 2011-12-28 因诺派德公司 包含形成图案的结构区域的化学机械平坦化垫
US8053487B2 (en) 2009-01-30 2011-11-08 The United States Of America As Represented By The Secretary Of The Navy Multifunctional acrylates used as cross-linkers in dental and biomedical self-etching bonding adhesives
US9951054B2 (en) 2009-04-23 2018-04-24 Cabot Microelectronics Corporation CMP porous pad with particles in a polymeric matrix
SG176151A1 (en) 2009-05-27 2011-12-29 Rogers Corp Polishing pad, polyurethane layer therefor, and method of polishing a silicon wafer
US8545292B2 (en) 2009-06-29 2013-10-01 Dic Corporation Two-component urethane resin composition for polishing pad, polyurethane polishing pad, and method for producing polyurethane polishing pad
JP2012533888A (ja) 2009-07-16 2012-12-27 キャボット マイクロエレクトロニクス コーポレイション 溝付きcmp研磨pad
TWI535527B (zh) 2009-07-20 2016-06-01 智勝科技股份有限公司 研磨方法、研磨墊與研磨系統
US8889232B2 (en) 2009-08-20 2014-11-18 Electronics For Imaging, Inc. Radiation curable ink compositions
EP3479933A1 (en) 2009-09-17 2019-05-08 Sciaky Inc. Electron beam layer manufacturing apparatus
JP5960054B2 (ja) 2009-10-16 2016-08-02 ポスコ 放射線硬化性樹脂組成物
WO2011059621A1 (en) 2009-11-13 2011-05-19 Sciaky, Inc. Electron beam layer manufacturing using scanning electron monitored closed loop control
JP5496630B2 (ja) 2009-12-10 2014-05-21 東京エレクトロン株式会社 静電チャック装置
KR101855073B1 (ko) 2009-12-22 2018-05-09 쓰리엠 이노베이티브 프로퍼티즈 컴파니 연마 패드 및 그의 제조 방법
JP5516604B2 (ja) 2009-12-28 2014-06-11 日立化成株式会社 Cmp用研磨液及びこれを用いた研磨方法
CN102686361A (zh) 2009-12-30 2012-09-19 3M创新有限公司 填充有机颗粒的抛光垫及其制造和使用方法
KR20120125612A (ko) 2009-12-30 2012-11-16 쓰리엠 이노베이티브 프로퍼티즈 컴파니 상-분리 중합체 블렌드를 포함하는 폴리싱 패드 및 이의 제조 및 사용 방법
US9017140B2 (en) 2010-01-13 2015-04-28 Nexplanar Corporation CMP pad with local area transparency
US9089943B2 (en) 2010-01-29 2015-07-28 Ronald Lipson Composite pads for buffing and polishing painted vehicle body surfaces and other applications
DE102010007401A1 (de) 2010-02-03 2011-08-04 Kärcher Futuretech GmbH, 71364 Vorrichtung und Verfahren zum automatisierten Formen und Abfüllen von Behältern
JP5977175B2 (ja) 2010-02-22 2016-08-24 インテグリス・インコーポレーテッド Cmp後の洗浄ブラシ
KR20110100080A (ko) 2010-03-03 2011-09-09 삼성전자주식회사 화학적 기계적 연마 공정용 연마 패드 및 이를 포함하는 화학적 기계적 연마 설비
DE102010011059A1 (de) 2010-03-11 2011-09-15 Global Beam Technologies Ag Verfahren und Vorrichtung zur Herstellung eines Bauteils
JP5551479B2 (ja) 2010-03-19 2014-07-16 ニッタ・ハース株式会社 研磨装置、研磨パッドおよび研磨情報管理システム
JP5620141B2 (ja) 2010-04-15 2014-11-05 東洋ゴム工業株式会社 研磨パッド
JP2013526777A (ja) 2010-05-11 2013-06-24 スリーエム イノベイティブ プロパティズ カンパニー 化学機械平坦化用の界面活性剤を含む固定研磨パッド
BR112013000098A2 (pt) 2010-07-02 2016-05-17 3M Innovative Properties Co artigos abrasivos revestidos
US9156124B2 (en) 2010-07-08 2015-10-13 Nexplanar Corporation Soft polishing pad for polishing a semiconductor substrate
JP5635957B2 (ja) 2010-09-09 2014-12-03 日本碍子株式会社 被研磨物の研磨方法、及び研磨パッド
US20130172509A1 (en) 2010-09-22 2013-07-04 Interfacial Solutions Ip, Llc Methods of Producing Microfabricated Particles for Composite Materials
US8257545B2 (en) 2010-09-29 2012-09-04 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad with light stable polymeric endpoint detection window and method of polishing therewith
US8702479B2 (en) 2010-10-15 2014-04-22 Nexplanar Corporation Polishing pad with multi-modal distribution of pore diameters
EP2668021B1 (en) 2011-01-26 2020-08-19 Zydex Pty Ltd A device for making an object
US9211628B2 (en) 2011-01-26 2015-12-15 Nexplanar Corporation Polishing pad with concentric or approximately concentric polygon groove pattern
JP5893479B2 (ja) 2011-04-21 2016-03-23 東洋ゴム工業株式会社 積層研磨パッド
EP2702112B1 (en) 2011-04-27 2020-05-13 Henkel IP & Holding GmbH Curable elastomer compositions with low temperature sealing capability
US8968058B2 (en) 2011-05-05 2015-03-03 Nexplanar Corporation Polishing pad with alignment feature
US20120302148A1 (en) 2011-05-23 2012-11-29 Rajeev Bajaj Polishing pad with homogeneous body having discrete protrusions thereon
ES2441170T3 (es) 2011-06-21 2014-02-03 Agfa Graphics N.V. Líquido eyectable curable para fabricar una matriz de impresión flexográfica
US8894799B2 (en) 2011-09-22 2014-11-25 Dow Global Technologies Llc Method of forming layered-open-network polishing pads
US9108291B2 (en) 2011-09-22 2015-08-18 Dow Global Technologies Llc Method of forming structured-open-network polishing pads
US8801949B2 (en) 2011-09-22 2014-08-12 Dow Global Technologies Llc Method of forming open-network polishing pads
KR20140069043A (ko) 2011-09-26 2014-06-09 인티그리스, 인코포레이티드 포스트-cmp 세정 장치 및 방법
TWI462797B (zh) 2011-11-24 2014-12-01 Univ Nat Taiwan Science Tech Electric field assisted chemical mechanical polishing system and its method
US9067297B2 (en) 2011-11-29 2015-06-30 Nexplanar Corporation Polishing pad with foundation layer and polishing surface layer
US9067298B2 (en) 2011-11-29 2015-06-30 Nexplanar Corporation Polishing pad with grooved foundation layer and polishing surface layer
KR102058340B1 (ko) 2011-11-30 2019-12-23 메르크 파텐트 게엠베하 전기영동 디스플레이용 입자
KR20130084932A (ko) 2012-01-18 2013-07-26 삼성전자주식회사 반도체 소자의 제조 방법
KR20130095430A (ko) 2012-02-20 2013-08-28 케이피엑스케미칼 주식회사 연마패드 및 그 제조방법
WO2013128452A1 (en) 2012-03-01 2013-09-06 Stratasys Ltd. Cationic polymerizable compositions and methods of use thereof
DE102012203639A1 (de) 2012-03-08 2013-09-12 Evonik Industries Ag Additiv zur Einstellung der Glasübergangstemperatur von viskoelastischen Polyurethanweichschaumstoffen
US8986585B2 (en) 2012-03-22 2015-03-24 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of manufacturing chemical mechanical polishing layers having a window
US8709114B2 (en) 2012-03-22 2014-04-29 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of manufacturing chemical mechanical polishing layers
DE102012007791A1 (de) 2012-04-20 2013-10-24 Universität Duisburg-Essen Verfahren und Vorrichtung zur Herstellung von Bauteilen in einer Strahlschmelzanlage
US9067299B2 (en) 2012-04-25 2015-06-30 Applied Materials, Inc. Printed chemical mechanical polishing pad
US9993873B2 (en) 2012-05-22 2018-06-12 General Electric Company System and method for three-dimensional printing
US9481134B2 (en) 2012-06-08 2016-11-01 Makerbot Industries, Llc Build platform leveling with tactile feedback
US20130327977A1 (en) 2012-06-11 2013-12-12 Cabot Microelectronics Corporation Composition and method for polishing molybdenum
US8778211B2 (en) 2012-07-17 2014-07-15 Cabot Microelectronics Corporation GST CMP slurries
US9174388B2 (en) 2012-08-16 2015-11-03 Stratasys, Inc. Draw control for extrusion-based additive manufacturing systems
US8888480B2 (en) 2012-09-05 2014-11-18 Aprecia Pharmaceuticals Company Three-dimensional printing system and equipment assembly
JP6196858B2 (ja) 2012-09-24 2017-09-13 株式会社荏原製作所 研磨方法および研磨装置
US9718975B2 (en) 2012-09-25 2017-08-01 3M Innovative Properties Company Radiation curable ink composition
WO2014058887A1 (en) 2012-10-11 2014-04-17 Dow Corning Corporation Aqueous silicone polyether microemulsions
US20140120196A1 (en) 2012-10-29 2014-05-01 Makerbot Industries, Llc Quick-release extruder
WO2014074947A2 (en) 2012-11-08 2014-05-15 Das, Suman Systems and methods for additive manufacturing and repair of metal components
US9718129B2 (en) 2012-12-17 2017-08-01 Arcam Ab Additive manufacturing method and apparatus
US10357435B2 (en) 2012-12-18 2019-07-23 Dentca, Inc. Photo-curable resin compositions and method of using the same in three-dimensional printing for manufacturing artificial teeth and denture base
US11673155B2 (en) 2012-12-27 2023-06-13 Kateeva, Inc. Techniques for arrayed printing of a permanent layer with improved speed and accuracy
WO2014110679A1 (en) 2013-01-17 2014-07-24 Ehsan Toyserkani Systems and methods for additive manufacturing of heterogeneous porous structures and structures made therefrom
US9649742B2 (en) 2013-01-22 2017-05-16 Nexplanar Corporation Polishing pad having polishing surface with continuous protrusions
EP2945755B1 (en) 2013-02-06 2019-09-11 Sun Chemical Corporation Digital printing inks
WO2014126837A2 (en) 2013-02-12 2014-08-21 Eipi Systems, Inc. Continuous liquid interphase printing
EP2969465B1 (en) 2013-03-14 2019-05-01 Stratasys Ltd. Polymer based molds and methods of manufacturing there of
US9152340B2 (en) 2013-05-28 2015-10-06 Netapp, Inc. System and method for managing and producing a dataset image across multiple storage systems
JP5955275B2 (ja) 2013-06-12 2016-07-20 富士フイルム株式会社 画像形成方法、加飾シートの製造方法、成形加工方法、加飾シート成形物の製造方法、インモールド成形品の製造方法
US20140370788A1 (en) 2013-06-13 2014-12-18 Cabot Microelectronics Corporation Low surface roughness polishing pad
US10183329B2 (en) 2013-07-19 2019-01-22 The Boeing Company Quality control of additive manufactured parts
US20150038066A1 (en) 2013-07-31 2015-02-05 Nexplanar Corporation Low density polishing pad
GB201313841D0 (en) 2013-08-02 2013-09-18 Rolls Royce Plc Method of Manufacturing a Component
US9855698B2 (en) 2013-08-07 2018-01-02 Massachusetts Institute Of Technology Automatic process control of additive manufacturing device
JP5992375B2 (ja) 2013-08-08 2016-09-14 株式会社東芝 静電チャック、載置プレート支持台及び静電チャックの製造方法
KR102207743B1 (ko) 2013-08-10 2021-01-26 어플라이드 머티어리얼스, 인코포레이티드 제어된 컨디셔닝을 용이하게 하는 재료 조성을 갖는 cmp 패드들
WO2015026614A1 (en) 2013-08-22 2015-02-26 Cabot Microelectronics Corporation Polishing pad with porous interface and solid core, and related apparatus and methods
US20150056895A1 (en) 2013-08-22 2015-02-26 Cabot Microelectronics Corporation Ultra high void volume polishing pad with closed pore structure
DE102013217422A1 (de) 2013-09-02 2015-03-05 Carl Zeiss Industrielle Messtechnik Gmbh Koordinatenmessgerät und Verfahren zur Vermessung und mindestens teilweisen Erzeugung eines Werkstücks
KR101405333B1 (ko) 2013-09-12 2014-06-11 유비머트리얼즈주식회사 연마 입자, 연마 슬러리 및 이를 이용한 반도체 소자의 제조 방법
US9308620B2 (en) 2013-09-18 2016-04-12 Texas Instruments Incorporated Permeated grooving in CMP polishing pads
GB201316815D0 (en) 2013-09-23 2013-11-06 Renishaw Plc Additive manufacturing apparatus and method
WO2015048011A1 (en) 2013-09-25 2015-04-02 3M Innovative Properties Company Multi-layered polishing pads
MX2016004000A (es) 2013-09-30 2016-06-02 Saint Gobain Ceramics Particulas abrasivas moldeadas y metodos para formación de ellas.
US20160271869A1 (en) 2013-10-17 2016-09-22 Luxexcel Holding B.V. Device for printing a three-dimensional structure
US8980749B1 (en) 2013-10-24 2015-03-17 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method for chemical mechanical polishing silicon wafers
WO2015065816A1 (en) 2013-10-30 2015-05-07 Anocoil Corporation Lithographic printing plate precursors and coating
US9421666B2 (en) 2013-11-04 2016-08-23 Applied Materials, Inc. Printed chemical mechanical polishing pad having abrasives therein
US9481069B2 (en) 2013-11-06 2016-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical mechanical polishing apparatus and polishing method using the same
US9352443B2 (en) 2013-11-13 2016-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Platen assembly, chemical-mechanical polisher, and method for polishing substrate
US9850402B2 (en) 2013-12-09 2017-12-26 Cabot Microelectronics Corporation CMP compositions and methods for selective removal of silicon nitride
US9993907B2 (en) 2013-12-20 2018-06-12 Applied Materials, Inc. Printed chemical mechanical polishing pad having printed window
CN104742007B (zh) 2013-12-30 2017-08-25 中芯国际集成电路制造(北京)有限公司 化学机械研磨装置和化学机械研磨方法
RU2016134047A (ru) 2014-01-23 2018-03-05 Рикох Компани, Лтд. Трехмерный объект и способ для его формирования
EP3105040B1 (en) 2014-02-10 2023-10-18 Stratasys Ltd. Composition and method for additive manufacturing of an object
US20160346997A1 (en) 2014-02-10 2016-12-01 President And Fellows Of Harvard College Three-dimensional (3d) printed composite structure and 3d printable composite ink formulation
JP2015174272A (ja) 2014-03-14 2015-10-05 セイコーエプソン株式会社 三次元造形物の製造方法、三次元造形物製造装置および三次元造形物
US9259820B2 (en) 2014-03-28 2016-02-16 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad with polishing layer and window
KR102350350B1 (ko) 2014-04-03 2022-01-14 쓰리엠 이노베이티브 프로퍼티즈 컴파니 폴리싱 패드 및 시스템과 이의 제조 및 사용 방법
WO2015161210A1 (en) 2014-04-17 2015-10-22 Cabot Microelectronics Corporation Cmp polishing pad with columnar structure and methods related thereto
US9333620B2 (en) 2014-04-29 2016-05-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad with clear endpoint detection window
US9314897B2 (en) 2014-04-29 2016-04-19 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad with endpoint detection window
US20150375361A1 (en) 2014-06-25 2015-12-31 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing method
US9259821B2 (en) 2014-06-25 2016-02-16 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing layer formulation with conditioning tolerance
US9731398B2 (en) 2014-08-22 2017-08-15 Rohm And Haas Electronic Materials Cmp Holding, Inc. Polyurethane polishing pad
KR20170054447A (ko) 2014-09-05 2017-05-17 어플라이드 머티어리얼스, 인코포레이티드 기판들의 열적 프로세싱을 위한 서셉터 및 예열 링
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
CN106716604A (zh) 2014-10-09 2017-05-24 应用材料公司 具有内部通道的化学机械研磨垫
US9776361B2 (en) 2014-10-17 2017-10-03 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles
US10875145B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
CN113579992A (zh) 2014-10-17 2021-11-02 应用材料公司 使用加成制造工艺的具复合材料特性的cmp衬垫建构
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US10821573B2 (en) 2014-10-17 2020-11-03 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
TWI689406B (zh) 2014-10-17 2020-04-01 美商應用材料股份有限公司 研磨墊及製造其之方法
US10399201B2 (en) 2014-10-17 2019-09-03 Applied Materials, Inc. Advanced polishing pads having compositional gradients by use of an additive manufacturing process
US10086500B2 (en) 2014-12-18 2018-10-02 Applied Materials, Inc. Method of manufacturing a UV curable CMP polishing pad
US10946495B2 (en) 2015-01-30 2021-03-16 Cmc Materials, Inc. Low density polishing pad
US9505952B2 (en) 2015-03-05 2016-11-29 Cabot Microelectronics Corporation Polishing composition containing ceria abrasive
US9475168B2 (en) 2015-03-26 2016-10-25 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad window
WO2016173668A1 (en) 2015-04-30 2016-11-03 Hewlett-Packard Development Company, L.P. Misalignment detection for a 3d printing device
CN106206409B (zh) 2015-05-08 2019-05-07 华邦电子股份有限公司 堆叠电子装置及其制造方法
US9969049B2 (en) 2015-06-29 2018-05-15 Iv Technologies Co., Ltd. Polishing layer of polishing pad and method of forming the same and polishing method
WO2017035007A1 (en) 2015-08-21 2017-03-02 Voxel8, Inc. Calibration and alignment of additive manufacturing deposition heads
WO2017073654A1 (ja) 2015-10-30 2017-05-04 コニカミノルタ株式会社 活性光線硬化型インクジェットインク組成物及びインクジェット記録方法
GB201519187D0 (en) 2015-10-30 2015-12-16 Knauf Insulation Ltd Improved binder compositions and uses thereof
WO2017074773A1 (en) 2015-10-30 2017-05-04 Applied Materials, Inc. An apparatus and method of forming a polishing article that has a desired zeta potential
US10593574B2 (en) * 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10229769B2 (en) 2015-11-20 2019-03-12 Xerox Corporation Three phase immiscible polymer-metal blends for high conductivty composites
US10189143B2 (en) 2015-11-30 2019-01-29 Taiwan Semiconductor Manufacturing Company Limited Polishing pad, method for manufacturing polishing pad, and polishing method
US10391605B2 (en) * 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
KR102629800B1 (ko) * 2016-01-19 2024-01-29 어플라이드 머티어리얼스, 인코포레이티드 다공성 화학적 기계적 연마 패드들
US9956314B2 (en) 2016-01-26 2018-05-01 Modern Ideas LLC Adhesive for use with bone and bone-like structures
CN109075057B (zh) 2016-03-09 2023-10-20 应用材料公司 垫结构及制造方法
EP3427288B1 (en) * 2016-03-09 2021-04-28 Applied Materials, Inc. Correction of fabricated shapes in additive manufacturing
KR102535628B1 (ko) 2016-03-24 2023-05-30 어플라이드 머티어리얼스, 인코포레이티드 화학적 기계적 연마를 위한 조직화된 소형 패드
JP6791680B2 (ja) 2016-08-09 2020-11-25 株式会社フジミインコーポレーテッド 表面処理組成物およびこれを用いた洗浄方法
US20180100074A1 (en) 2016-10-11 2018-04-12 Xerox Corporation Ink composition for use in 3d printing
US20180100073A1 (en) 2016-10-11 2018-04-12 Xerox Corporation Ink composition for use in 3d printing
US10259956B2 (en) 2016-10-11 2019-04-16 Xerox Corporation Curable ink composition
US10930535B2 (en) 2016-12-02 2021-02-23 Applied Materials, Inc. RFID part authentication and tracking of processing components
US10864612B2 (en) 2016-12-14 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing pad and method of using
KR20180094428A (ko) 2017-02-15 2018-08-23 삼성전자주식회사 화학 기계적 연마 장치
US11084143B2 (en) 2017-05-25 2021-08-10 Applied Materials, Inc. Correction of fabricated shapes in additive manufacturing using modified edge
US10967482B2 (en) 2017-05-25 2021-04-06 Applied Materials, Inc. Fabrication of polishing pad by additive manufacturing onto mold
JP6894015B2 (ja) 2017-06-21 2021-06-23 カーボン,インコーポレイテッド 積層造形の方法
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US11072050B2 (en) 2017-08-04 2021-07-27 Applied Materials, Inc. Polishing pad with window and manufacturing methods thereof
WO2019032286A1 (en) 2017-08-07 2019-02-14 Applied Materials, Inc. ABRASIVE DISTRIBUTION POLISHING PADS AND METHODS OF MAKING SAME
WO2019152222A1 (en) 2018-02-05 2019-08-08 Applied Materials, Inc. Piezo-electric end-pointing for 3d printed cmp pads
WO2019190676A1 (en) 2018-03-30 2019-10-03 Applied Materials, Inc. Integrating 3d printing into multi-process fabrication schemes
SG11202010448TA (en) 2018-05-07 2020-11-27 Applied Materials Inc Hydrophilic and zeta potential tunable chemical mechanical polishing pads
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
KR20210042171A (ko) 2018-09-04 2021-04-16 어플라이드 머티어리얼스, 인코포레이티드 진보한 폴리싱 패드들을 위한 제형들
US20200230781A1 (en) 2019-01-23 2020-07-23 Applied Materials, Inc. Polishing pads formed using an additive manufacturing process and methods related thereto
JP7332709B2 (ja) 2019-03-19 2023-08-23 アプライド マテリアルズ インコーポレイテッド 疎水性及び疎氷性コーティング
US11851570B2 (en) 2019-04-12 2023-12-26 Applied Materials, Inc. Anionic polishing pads formed by printing processes
TWI833018B (zh) 2019-05-07 2024-02-21 美商Cmc材料有限責任公司 經基於槽生產之化學機械平坦化墊

Also Published As

Publication number Publication date
US11878389B2 (en) 2024-01-23
EP4291352A1 (en) 2023-12-20
US20220250203A1 (en) 2022-08-11
TW202245979A (zh) 2022-12-01
KR20230142595A (ko) 2023-10-11
WO2022173581A1 (en) 2022-08-18

Similar Documents

Publication Publication Date Title
CN112384330B (zh) 使用增材制造工艺所形成的抛光垫及其相关方法
US20230294239A1 (en) Formulations for advanced polishing pads
CN111633556B (zh) 由积层制造工艺所生产的研磨垫
CN116963870A (zh) 用于原位重新生成表面纹理的使用增材制造处理形成的结构
WO2021126470A1 (en) Polishing pads having selectively arranged porosity
US11951590B2 (en) Polishing pads with interconnected pores
CN116133791A (zh) 先进研磨垫以及相关的研磨垫制造方法
US20230019456A1 (en) Brush, method of forming a brush, and structure embodied in a machine readable medium used in a design process
WO2022245404A1 (en) Polishing pads having improved pore structure
TW202315706A (zh) 用於高溫處理的拋光墊

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination