CN109326537A - 衬底处理装置 - Google Patents

衬底处理装置 Download PDF

Info

Publication number
CN109326537A
CN109326537A CN201810835270.2A CN201810835270A CN109326537A CN 109326537 A CN109326537 A CN 109326537A CN 201810835270 A CN201810835270 A CN 201810835270A CN 109326537 A CN109326537 A CN 109326537A
Authority
CN
China
Prior art keywords
top cover
processing device
substrate processing
drive shaft
plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810835270.2A
Other languages
English (en)
Other versions
CN109326537B (zh
Inventor
李主日
金熙哲
金大渊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN109326537A publication Critical patent/CN109326537A/zh
Application granted granted Critical
Publication of CN109326537B publication Critical patent/CN109326537B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)

Abstract

本发明提供一种衬底处理装置,所述衬底处理装置能够防止顶盖通过衬底处理装置的自身重量和/或由真空泵产生的真空吸引力和/或高温过程下的热冲击而在包含多个反应器的腔室中向下方下垂。并且,提供一种用于在多个反应器之间传递衬底的旋转轴。

Description

衬底处理装置
相关申请的交叉参考
本申请要求2017年7月31日向韩国知识产权局提交的第10-2017-0097136号韩国专利申请案的权益,所述申请的公开内容以全文引用的方式并入本文中。
技术领域
一或多个实例实施例涉及衬底处理装置,且更确切地说涉及能够防止顶盖下垂或变形的衬底处理装置。
背景技术
近年来,已经进行许多尝试以提高半导体制造的生产率(每单位时间可处理的衬底的数目)。举例来说,存在一种减少用于处理衬底上的化学品的处理时间的方法。然而,因为需要最少量的时间来引起衬底上的化学品之间的反应,所以在减少处理时间方面存在限制。
另一方法是研发针对反应优化的反应器。举例来说,在原子层沉积装置的情况下,具有最小内部容积的反应器可经研发以实现异构气体之间的快速转换时间。然而,归因于气体流动及排出所需的最小空间,对于减少反应空间存在物理限制。
替代地,可以考虑其中具有多个反应器的真空腔室。举例来说,其中具有至少两个相同反应器的真空腔室可能不仅提高每单位时间的经处理衬底的数目,而且视需要将数个真空腔室连接到传递腔室,进而克服缩短处理时间或减少反应器体积的物理限制。然而,在其中具有多个反应器的真空腔室的情况下,随着真空腔室的大小增大,构成腔室的上部部分的腔室盖(顶盖)的重量增加且腔室盖由真空力而变形,这限制真空腔室中的反应器的数目。并且,腔室盖的变形的程度在高温过程中增加。
美国专利第6,949,204号采用双腔室盖结构来防止真空腔室的盖子因真空力变形。然而,在此情况下,归因于添加的结构增加存在腔室结构的复杂度的问题,且归因于腔室重量的增加存在难以操作/维护的问题。具体地说,随着衬底的大小增大,用以容纳衬底的内部反应器的物理大小及体积也相应地增加,这是增加内部反应器的数目的主要障碍并且还限制装置的设计及运行。
发明内容
一或多个实例实施例包含用于解决上述问题的装置。具体地说,在包含多个反应器的真空腔室中提供用于解决腔室盖变形的装置。并且,一或多个实例实施例包含用于在多个反应器之间传递衬底的装置。
额外方面将部分地在以下描述中得到阐述,并且部分地将从所述描述显而易见,或者可以通过对所呈现实例实施例的实践而获悉。
根据一或多个实例实施例,一种衬底处理装置包含:顶盖;腔室壁,包含通孔;多个衬底支撑件,布置在腔室壁中;驱动轴,穿过腔室壁的通孔且在多个衬底支撑件之间延伸;以及顶盖支撑件,形成于驱动轴的中空结构中且穿过通孔以支撑顶盖。
衬底处理装置可还包含:连接到驱动轴的第一板;及连接到顶盖支撑件的第二板。举例来说,第一板可以是可移动的,且第二板可以是固定的。
衬底处理装置可还包含连接到第一板且配置成使驱动轴上下移动的驱动单元。衬底处理装置可还包含在腔室壁与第二板之间延伸的固定轴。顶盖支撑件及第二板可以通过固定轴固定到腔室壁。
衬底处理装置可还包含:第一屏蔽单元,配置成屏蔽腔室壁与驱动轴之间的空间;及第二屏蔽单元,配置成屏蔽驱动轴与第二板之间的空间。举例来说,第一屏蔽单元及第二屏蔽单元中的至少一个可包含:可拉伸部分;及旋转支撑部分,连接到可拉伸部分且配置成促进驱动轴的旋转。
根据一或多个实例实施例,衬底处理装置包含:顶盖;腔室壁,包含通孔;多个衬底支撑件,布置在腔室壁中;驱动轴,穿过腔室壁的通孔且在多个衬底支撑件之间延伸;顶盖支撑件,形成于驱动轴的中空结构中且穿过通孔以支撑顶盖;第一板,连接到驱动轴;第二板,配置成固定地支撑顶盖支撑件;第一屏蔽单元,安置在第一板与腔室壁之间;第二屏蔽单元,安置在第二板与驱动轴之间;固定轴,从腔室壁延伸到第二板;第一驱动单元,连接到第一板且配置成使驱动轴上下移动;以及第二驱动单元,配置成使驱动轴旋转。
根据一或多个实例实施例,衬底处理装置包含:内部空间,由顶盖及腔室壁限定;排气部分,连接到内部空间;多个衬底支撑件,布置在内部空间中;通孔,穿过腔室壁的下表面且形成于多个衬底支撑件之间;以及顶盖支撑件,配置成通过通孔支撑顶盖。
衬底处理装置可还包含:驱动轴,配置成通过通孔围绕顶盖支撑件;旋转电动机,配置成使驱动轴旋转;以及提升电动机,配置成提升驱动轴。
衬底处理装置可还包含连接到驱动轴的一个表面的衬底传递旋转臂。
衬底处理装置可还包含:第一密封部分,配置成围绕驱动轴;第二密封部分,配置成围绕顶盖支撑件;第一波纹管,配置成将第一密封部分连接到腔室壁的下表面;以及第二波纹管,配置成将第二密封部分连接到驱动轴的下表面,其中第一密封部分及第二密封部分可使驱动轴及顶盖支撑件与外部隔离。
衬底处理装置可还包含:顶盖支撑板,配置成支撑顶盖支撑件;及至少一个顶盖支撑板固定轴,配置成将顶盖支撑板支撑在腔室壁的下表面上。
顶盖支撑件与顶盖接触的上表面可以是弯曲的。
衬底处理装置可还包含至少一个顶盖支撑框架,其中顶盖支撑框架可以连接到顶盖支撑件且配置成跨越内部空间支撑顶盖。
衬底处理装置可还包含在顶盖支撑件的至少一个表面上以用于支撑顶盖及顶盖支撑框架的至少一个弹性部分。弹性部分可包含盖子及弹性主体。弹性主体包含弹簧、流体以及气体中的至少一个或上述的组合。
衬底处理装置可还包含:气体或流体供应管线,连接到弹性部分;及压力控制器。
附图说明
通过结合附图对实例实施例进行的以下描述,这些和/或其它方面将变得显而易见并且更加容易了解,在所述附图中:
图1是根据实例实施例的衬底处理装置的横截面图。
图2是根据其它实例实施例的衬底处理装置的横截面图。
图3是根据其它实例实施例的衬底处理装置的横截面图。
图4是根据其它实例实施例的衬底处理装置的横截面图。
图5A是根据其它实例实施例的衬底处理装置的横截面图。
图5B是从不同方向观看的图5A的衬底处理装置的横截面图。
图6A和图6B是根据实例实施例的衬底处理装置的立体图。
图6C是图6A的衬底处理装置的正视图。
图6D是图6A的衬底处理装置的透视图。
图7是根据实例实施例的衬底处理装置的部分放大视图。
图8是根据实例实施例的添加有顶盖支撑框架的衬底处理装置的部分截面视图。
图9是图8的衬底处理装置的俯视图。
图10到图13是根据其它实例实施例的衬底处理装置的横截面图。
附图标号说明
1:腔室;
2、110:顶盖;
3、120:腔室壁;
4、150:顶盖支撑件;
5:顶盖支撑板;
6:旋转轴;
7:传递臂;
8、130:衬底支撑件;
9、I:内部空间;
10:第一密封部分;
11:第二密封部分;
12、E1:第一可拉伸部分;
13、E2:第二可拉伸部分;
14:提升板;
15:提升传感器板;
16:旋转电动机;
17:第一旋转齿轮;
18:第二旋转齿轮;
19:提升电动机;
20:提升导向板;
21:提升导向固定板;
22:提升板导向轴;
23:旋转传感器板;
24、H:通孔;
25:顶盖支撑板固定轴;
26:顶盖支撑框架;
27、28、29:弹性部分;
27a:盖子;
27b:弹性主体;
30、31、32:流体供应管线;
35:可拉伸部分支撑板;
140:驱动轴;
160:第一板;
170:第二板;
180:固定轴;
190:驱动单元;
200:第一屏蔽单元;
210:第二屏蔽单元;
A:虚线区;
B1:第一旋转支撑部分;
B2:第二旋转支撑部分;
C:壳体;
M1:第一磁性密封部分;
M2:第二磁性密封部分;
R:反应空间;
W:反应器壁。
具体实施方式
下文中,将参考附图更充分地描述一或多个实例实施例。
在此方面,本实例实施例可具有不同形式并且不应被解释为限于本文中所阐述的描述。相反地,提供这些实例实施例使得本公开将透彻并且完整,并且将充分地向本领域的一般技术人员传达本发明概念的范围。
本文中所使用的术语用于描述特定实施例的目的且并不旨在限制本发明概念。如本文中所使用,除非上下文另外清晰地指示,否则单数形式“一”和“所述”也希望包含复数形式。应进一步理解,本文中所使用的术语“包括(comprises/comprising)”指定存在所陈述的特征、整数、步骤、操作、部件、组件和/或其群组,但不排除存在或添加一个或多个其它特征、整数、步骤、操作、部件、组件和/或其群组。如本文中所使用,术语“和/或”包含相关联的所列项目中的一或多个的任何和所有组合。
将理解,尽管术语第一、第二等可在本文中使用以描述各个部件、组件、区、层和/或区段,但这些部件、组件、区、层和/或区段应不受这些术语限制。这些术语并不表示任何次序、数量或重要性,而是实际上仅用于区分一个组件、区、层和/或区段与另一组件、区、层和/或区段。因此,在不脱离实施例的教示的情况下,下文论述的第一部件、组件、区、层或区段可称为第二部件、组件、区、层或区段。
现将在下文中参考附图描述实例实施例,附图中示意性地示出了实例实施例。举例来说,在附图中,所示出形状可根据制造技术和/或公差变形。因此,实例实施例不应解释为限于本文中所示出的区域的特定形状,而是可包含例如由制造引起的形状偏差。
尽管半导体或显示器衬底的沉积装置在本文中描述为衬底处理装置,但应理解,本公开不限于此。衬底处理装置可以是执行用于形成薄膜的材料的沉积所需的任何装置,且可指代其中均匀地供应用于蚀刻或抛光材料的原材料的装置。下文中,为描述方便起见,衬底处理装置是半导体沉积装置。
图1是根据实例实施例的衬底处理装置的横截面图。
参考图1,衬底处理装置可包含顶盖110、腔室壁120、衬底支撑件130、驱动轴140、顶盖支撑件150、第一板160、第二板170、固定轴180、驱动单元190、第一屏蔽单元200以及第二屏蔽单元210。
顶盖110充当衬底处理装置的盖。举例来说,顶盖110可具有反应器壁W及气体供应器(未示出)。气体供应器(未示出)可以安置在反应器壁W之间的反应空间R中。气体供应器可以通过例如侧流型组合件结构或喷淋头型组合件结构实施。顶盖110可以安置在腔室壁120的顶部。
内部空间I可以由腔室壁120及顶盖110限定。并且,在内部空间I中,反应空间R可以由反应器壁W及衬底支撑件130限定。衬底处理装置可还包含与内部空间I和/或反应空间R连通的排气部分(未示出)。负压可以通过排气部分的操作在内部空间I和/或反应空间R中产生。
腔室壁120可包含通孔H。通孔H可以形成于腔室壁120的下部部分中。举例来说,通孔H可以形成于腔室壁120的下部部分的中心处。通孔H可以形成为从腔室壁120的内部下表面延伸到内部空间I。
衬底支撑件130可以安置在腔室壁120中。衬底支撑件130可以形成于由顶盖110及腔室壁120限定的内部空间I中。衬底支撑件130可为多个,使得衬底处理装置可配置成使用多个衬底支撑件130同时处理多个衬底。穿过腔室壁120的下表面的通孔H可形成于多个衬底支撑件130之间。
驱动轴140穿过腔室壁120的通孔H且可在多个衬底支撑件130之间延伸。驱动轴140可配置成可例如上下和/或旋转移动。衬底传递旋转臂可连接到驱动轴140的一个表面。驱动轴140可具有中空结构。举例来说,驱动轴140可实施为可关于中空结构的中心旋转的旋转轴。旋转轴可穿过通孔H且围绕顶盖支撑件150。
顶盖支撑件150可配置成通过通孔H支撑顶盖110。顶盖支撑件150可形成于驱动轴140的中空结构中。形成于驱动轴140的中空结构中的顶盖支撑件150可防止顶盖110通过以下情况变形:顶盖110的其自身重量;和/或通过例如真空泵的排气部分产生而不影响衬底处理装置的内部空间I中的组件(例如反应器壁W、衬底支撑件130、驱动轴140等)的布置的内部空间I的负压(即,真空吸引力);和/或高温过程下的热冲击。
第一板160是可移动组件且可连接到驱动轴140。第一板160可将驱动单元190的动力传输到驱动轴140,以使得驱动轴140可上下或旋转移动。举例来说,第一板160可由驱动单元190上下移动,且连接到第一板160的驱动轴140可通过第一板160的上下移动而上下移动。作为另一实例,第一板160可由驱动单元190旋转,且连接到第一板160的驱动轴140可通过第一板160的旋转而旋转。
第二板170是固定组件且可连接到顶盖支撑件150。因此,顶盖支撑件150可安置在顶盖110与第二板170之间以支撑顶盖110。此外,顶盖支撑件150可为通过第二板170固定地支撑。也就是说,第二板170可充当用于支撑顶盖支撑件150的顶盖支撑板。
固定轴180可在腔室壁120与第二板170之间延伸。也就是说,顶盖支撑件150及第二板170可通过固定轴180固定到腔室壁120(及其下表面),且第二板170的顶盖支撑件150可被固定地支撑。
驱动单元190可连接到第一板160,所述驱动单元190可移动以移动驱动轴140。在替代性实例实施例中,驱动单元190可配置成通过连接到驱动轴140而不需穿过第一板160来移动驱动轴140。举例来说,驱动单元190可包含用于旋转驱动轴140的旋转轴的旋转电动机和/或用于提升驱动轴140的提升电动机。
第一屏蔽单元200可屏蔽腔室壁120与驱动轴140之间的空间。第二屏蔽单元210可屏蔽驱动轴140与第二板170之间的空间。在一替代性实施例中,第一屏蔽单元200和/或第二屏蔽单元210可配置成不防止驱动轴140的移动和/或旋转而防止外部污染物进入内部空间I。
第一屏蔽单元200可安置在腔室壁120与第一板160之间。在一替代或额外实例实施例中,第一屏蔽单元200可安置在腔室壁120与驱动轴140之间。第二屏蔽单元210可安置在驱动轴140与第二板170之间。在一替代或额外实例实施例中,第二屏蔽单元210可安置在驱动轴140与顶盖支撑件150之间。
举例来说,第一屏蔽单元200可包含第一密封部分及第一可拉伸部分中的至少一个。第一密封部分可以是围绕驱动轴140的第一磁性密封部分。第一磁性密封部分可使内部空间I与外部隔离。第一可拉伸部分可包含将第一磁性密封部分连接到腔室壁120的下表面(或将第一磁性密封部分连接到第一板160)的第一波纹管。
第二屏蔽单元210可包含第二密封部分及第二可拉伸部分中的至少一个。第二密封部分可以是围绕顶盖支撑件150的第二磁性密封部分。第二磁性密封部分可使顶盖支撑件150及顶盖支撑件150被导向到的内部空间I与外部隔离。第二可拉伸部分可包含将第二磁性密封部分连接到驱动轴140的下表面(或将第二磁性密封部分连接到第二板170)的第二波纹管。
图2是根据其它实例实施例的衬底处理装置的横截面图。根据实例实施例的衬底处理装置可以是根据上述实例实施例的衬底处理装置的变型。下文中,本文中将并未给出对实例实施例的重复描述。
参考图2,第一屏蔽单元200可包含第一可拉伸部分E1及第一旋转支撑部分B1,且第二屏蔽单元210可包含第二可拉伸部分E2及第二旋转支撑部分B2。
第一可拉伸部分E1可安置在腔室壁120的下表面与第一板160之间且可随着第一板160移动而拉伸。举例来说,第一可拉伸部分E1可具有波纹状构形(例如波纹管)。当第一板160及连接到第一板160的驱动轴140向上移动时,第一可拉伸部分E1可收缩,且当第一板160及连接到第一板160的驱动轴140向下移动时,第一可拉伸部分E1可扩展。
在一替代性实例实施例中,第一可拉伸部分E1可具有弹性。举例来说,第一可拉伸部分E1的弹性可调节以便响应于驱动轴140的竖直移动拉伸或收缩,使得腔室壁120的下表面与第一板160之间的屏蔽可得以维持。
第一可拉伸部分E1可包含将第一磁性密封部分M1(或第一旋转支撑部分B1)连接到腔室壁120的下表面的第一波纹管。第一磁性密封部分M1可使内部空间I与外部隔离。举例来说,第一磁性密封部分M1可布置成在腔室壁120的下表面与第一旋转支撑部分B1之间和/或在第一波纹管与第一旋转支撑部分B1之间进行密封。
第一旋转支撑部分B1可促进驱动轴140的旋转。举例来说,第一旋转支撑部分B1可实施为轴承(例如推力轴承)。在一替代性实例实施例中,第一旋转支撑部分B1的一个端部可连接到第一可拉伸部分E1,且第一旋转支撑部分B1的另一端部可连接到腔室壁120的下表面。并且,在一些实例实施例中,第一磁性密封部分M1可安置成至少部分地与驱动轴140、第一可拉伸部分E1以及第一旋转支撑部分B1中的至少一个接触。
第二可拉伸部分E2可安置在驱动轴140的下表面与第二板170之间且可根据驱动轴140的移动扩展或收缩。举例来说,第二可拉伸部分E2可具有波纹状构形(例如波纹管)。当驱动轴140向上移动时,第二可拉伸部分E2可扩展,且当驱动轴140向下移动时,第二可拉伸部分E2可收缩。
在一替代性实例实施例中,第二可拉伸部分E2可具有弹性。举例来说,第二可拉伸部分E2的弹性可调节以便响应于驱动轴140的竖直移动拉伸或收缩,使得驱动轴140的下表面与第二板170之间的屏蔽可得以维持。
第二可拉伸部分E2可包含将第二磁性密封部分M2(或第二旋转支撑部分B2)连接到第二板170的第二波纹管。第二磁性密封部分M2可使驱动轴140与顶盖支撑件150之间的空间(即,连接到内部空间I的空间)与外部隔离。举例来说,第二磁性密封部分M2可安置成在驱动轴140的下表面与第二旋转支撑部分B2之间和/或第二波纹管与第二旋转支撑部分B2之间进行密封。
第二旋转支撑部分B2可促进驱动轴140的旋转。举例来说,第二旋转支撑部分B2可实施为轴承(例如推力轴承)。在一替代实例实施例中,第二旋转支撑部分B2的一个端部可连接到第二可拉伸部分E2,且第二旋转支撑部分B2的另一端部可连接到驱动轴140。同样在一些实例实施例中,第二磁性密封部分M2可安置成至少部分地与驱动轴140、第二可拉伸部分E2以及第二旋转支撑部分B2中的至少一个接触。
图3是根据其它实例实施例的衬底处理装置的横截面图。根据实例实施例的衬底处理装置可以是根据上述实例实施例的衬底处理装置的变型。下文中,本文中将并未给出对实例实施例的重复描述。
参考图3,衬底处理装置的第一屏蔽单元200可包含第一可拉伸部分E1、连接到第一可拉伸部分E1的壳体C、安置在壳体C中的第一旋转支撑部分B1,以及用于密封与第一旋转支撑部分B1接触的内部空间I的第一磁性密封部分M1。为径向轴承的第一旋转支撑部分B1可支撑驱动轴140同时维持/促进驱动轴140的旋转。第一旋转支撑部分B1可实施为滚珠轴承、滚柱轴承或流体轴承,且可实施为承载组件同时维持旋转的任何组件。第一磁性密封部分M1可充当第一旋转支撑部分B1的润滑流体。在另一实例实施例中,第一旋转支撑部分B1可以是流体轴承,且第一磁性密封部分M1可以是流体轴承的润滑流体。
衬底处理装置的第一板160可接触壳体C的下表面。因此,当第一板160由驱动单元(未示出)向上移动时,接触第一板160的壳体C可提升。第一旋转支撑部分B1及驱动轴140可与壳体C的提升一起向上移动。
第二可拉伸部分E2可包含将第二磁性密封部分M2(或第二旋转支撑部分B2)连接到驱动轴140的第二波纹管。也就是说,在图2的实例实施例中,第二旋转支撑部分B2安置在驱动轴140与第二拉伸部分E2之间,而在图3的实例实施例中,第二旋转支撑部分B2安置在第二拉伸部分E2与第二板170之间。
第二旋转支撑部分B2的一个端部可连接到第二拉伸部分E2,且第二旋转支撑部分B2的另一端部可连接到第二板170。在一些实例实施例中,第二磁性密封部分M2可安置成至少部分地与驱动轴140、第二可拉伸部分E2以及第二旋转支撑部分B2中的至少一个接触。因此,第二磁性密封部分M2可使驱动轴140与顶盖支撑件150之间的空间(即,连接到内部空间I的空间)与外部隔离。
在一些实例实施例中,第二旋转支撑部分B2可实施为滚珠轴承、滚柱轴承或流体轴承,且第二磁性密封部分M2可充当第二旋转支撑部分B2的润滑流体。在另一实例实施例中,第二旋转支撑部分B2可以是流体轴承,且第二磁性密封部分M2可以是流体轴承的润滑流体。
图4是根据其它实例实施例的衬底处理装置的横截面图。
参考图4,衬底处理装置可包含腔室1,所述腔室1包含顶盖2、腔室壁3、多个衬底支撑件8、通孔24、顶盖支撑件4、旋转轴6、旋转电动机16、提升电动机19、传递臂7以及顶盖支撑板固定轴25。
顶盖2及腔室壁3可彼此接触以形成内部空间9。更详细地说,顶盖2可用一侧上的腔室壁3密封以形成内部空间9。密封部件插入到顶盖2与腔室壁3之间的接触部分中以防止外部气体穿透到腔室1中或腔室1中的气体流出腔室1。举例来说,O形环可用作密封部件以防止气体浸渗/流出或压升。
内部空间9连接到排气装置(未示出)且始终维持比外部大气更低的压力。排气装置可以是例如排气泵。
多个(例如两个)衬底支撑件8可布置在内部空间9中。衬底处理装置可根据衬底支撑件8的数目同时处理多个衬底。
衬底支撑件8可布置成对应于气体注射装置(未示出),且可配置成与气体注射装置一起形成反应空间。此外,衬底支撑件8可配置成能够旋转及上下移动(例如连接到驱动单元及驱动轴)。多个气体注射装置中的每一个安置在顶盖2中且可安置在对应于对应衬底支撑件8的位置处以与衬底支撑件8一起形成反应空间。衬底支撑件8及气体注射装置可彼此接触以形成封闭反应空间。在此,每一反应空间可具有排气装置。在另一实例实施例中,衬底支撑件8及气体注射装置并不彼此接触且可形成开放反应空间。在此,反应气体可通过连接到内部空间9的排气装置排出。
通孔24形成于腔室壁3的下表面中以便穿过腔室壁3的下表面。顶盖支撑件4通过通孔24延伸到顶盖2。顶盖支撑件4以柱状形状形成,且其水平区段可具有例如圆形、椭圆形以及多边形的各种形状。
通孔24可形成于多个衬底支撑件8之间,且顶盖支撑件4可在多个衬底支撑件8之间延伸。顶盖支撑件4支撑顶盖2以防止顶盖2由连接到内部空间9的真空泵产生的真空吸引力和/或通过顶盖2的其自身重量和/或通过高温处理下的热冲击向下方下垂。顶盖支撑件4可具有可防止顶盖2通过顶盖2的其自身重量和/或由真空吸引力向下方下垂的长度及宽度。
在一替代性实例实施例中,顶盖支撑件4经安置以便接触顶盖2的内部而非顶盖2的边缘,以便将用于支撑顶盖2的力均匀地分布到顶盖2。举例来说,顶盖支撑件4可经安置以便与顶盖2的中心部分接触。
衬底处理装置可还包含附接到顶盖支撑件4以支撑顶盖支撑件4的顶盖支撑板5。举例来说,如图4中所示出,顶盖支撑件4可通过通孔24连接到腔室1外部的顶盖支撑板5。
旋转轴6可安置在通孔24与顶盖支撑件4之间。具体地说,旋转轴6穿过通孔24且可配置成围绕顶盖支撑件4。
用于传递衬底的传递臂7可安置在旋转轴6上且连接到所述旋转轴6。传递臂7可包含上面安装有衬底的末端执行器(未示出)。安装在传递臂7上的衬底可通过腔室壁3的侧面上的衬底入口(未示出)引入到腔室1中且可安装在对应于每一气体注射装置的衬底支撑件8上。
旋转轴6可连接到用于旋转旋转轴6的旋转电动机16。此外,旋转轴6可连接到用于提升旋转轴6的提升电动机19。旋转轴6可由旋转电动机16旋转且可由提升电动机19提升,以便于衬底在传递臂7与衬底支撑件8之间的装载/卸载。
可拉伸部分13可安置在腔室1的下部部分处以使得旋转轴6可提升。可拉伸部分13具有可拉伸及可收缩结构且可由软性部件制成以使得体积可易于改变。举例来说,可拉伸部分13可以是波纹管,其中形成波纹状部分。
举例来说,如图4中所示出,可拉伸部分13安置在旋转轴6与顶盖支撑板5之间且可通过旋转轴6的升降拉伸/收缩。可拉伸部分13经形成使得弹力很难起作用,且有可能防止旋转轴6的竖直位置由弹力改变。
可拉伸部分13可形成为围绕顶盖支撑件4。物理密封装置(例如,O形环)插入可拉伸部分13与旋转轴6之间及可拉伸部分13与顶盖支撑板5之间,且有可能防止外部气体穿透到腔室1中或腔室1中的气体流出腔室1。
顶盖支撑件4的上表面及顶盖2的下表面以机械方式彼此连接(例如,通过顶盖支撑件4的上部表面与顶盖2的下表面之间的摩擦力和/或通过顶盖支撑件4与顶盖2之间的栓接)以将顶盖支撑件4固定到顶盖2。然而,当旋转轴6由提升电动机19或旋转电动机16提升或旋转时,摇晃归因于振动而出现,使得连接到旋转轴6的顶盖支撑板5及连接到顶盖支撑板5的顶盖支撑件4也可能摇晃。
为了防止此情况,根据本公开的衬底处理装置可还包含用于将顶盖支撑板5固定到腔室壁3的至少一个顶盖支撑板固定轴25。顶盖支撑件4还可通过将顶盖支撑板5固持在顶盖支撑板固定轴25上,即通过将顶盖支撑板5固定到腔室壁3而固定。
尽管图4中仅示出一个顶盖支撑件4,但顶盖支撑件4可仅设置在腔室1的中心处,或可设置在多个格点处的多个位置处(例如衬底支撑件之间和/或反应空间之间)。当设置多个顶盖支撑件时,多个顶盖支撑件可布置成最小化顶盖的下垂而不干扰装载衬底。
在一些实例实施例中,第一可拉伸部分12及第二可拉伸部分13可安置于腔室壁3之下以便能够上下移动旋转轴6且使内部空间9与外部隔离。第一可拉伸部分12安置在腔室壁3的下表面与可拉伸部分支撑板35之间且配置成围绕旋转轴6以便通过使旋转轴升降6拉伸及收缩。第二可拉伸部分13安置在旋转轴6与顶盖支撑板5之间且配置成围绕顶盖支撑件4以便通过使旋转轴6升降拉伸/收缩。物理密封装置(例如O形环)等可插入第一可拉伸部分12与腔室壁3之间、第一可拉伸部分12与可拉伸部分支撑板35之间、第二可拉伸部分13与旋转轴6之间,以及第二可拉伸部分13与顶盖支撑板5之间,以便进一步防止外部气体穿透到腔室1中或腔室1中的气体流出腔室1。
在一替代性实例实施例中,根据本公开的衬底处理装置可还包含用以维持旋转轴6及顶盖支撑件4与外部大气之间的密封性的密封部分。举例来说,围绕旋转轴6的第一密封部分和/或围绕顶盖支撑件4的第二密封部分可得以安置。
密封部分可以是磁性密封部分。磁性密封部分的密封材料是干净的,因为其并不归因于物理摩擦力而产生磨料颗粒。可以极高真空区域(10帕到15帕)使用的磁性密封部分具有长久寿命,因为不存在归因于固体摩擦力的磨耗损失且不存在扭矩损失,且高速旋转是可能的,这是因为磁性密封部分使用液体密封材料。并且,磁性密封部分并不影响旋转轴6的旋转,这是因为不存在接触载荷。
图5A是根据其它实例实施例的衬底处理装置的横截面图。下文中,本文中将并未给出对实例实施例的重复描述。
参考图5A,衬底处理装置可还包含提升导向板20、提升导向固定板21、提升传感器板15、第一旋转齿轮17、第二旋转齿轮18以及旋转传感器板23。
第一可拉伸部分12可将第一密封部分10连接到腔室壁3,且第二可拉伸部分13可将第二密封部分11连接到旋转轴6。第一密封部分10可安置在第一可拉伸部分12与提升板14之间且可围绕旋转轴6。第二密封部分11可安置在第二可拉伸部分13与顶盖支撑板5之间且可围绕顶盖支撑件4。
当第一密封部分10和/或第二密封部分11是磁性密封部分时,密封部分中的每一个包含在与旋转轴6及顶盖支撑件4接触的内表面中的多个凹槽,且磁性流体可供应到所述凹槽。磁性流体接触旋转轴6及顶盖支撑件4以使腔室1的内部空间9与外部大气隔离。具体地说,磁性流体通过磁力形成一种阻挡膜。随着旋转轴6旋转,磁性物质覆盖旋转轴6的整个表面,且因此,来自外部的污染物不会流入腔室1的真空部分中。
物理密封装置(例如O形环)等可插入第一可拉伸部分12与腔室壁3之间、第一可拉伸部分12与第一密封部分10之间、第二可拉伸部分13与第二密封部分11之间,以及第二可拉伸部分13与旋转轴6之间,以便进一步防止外部气体穿透到腔室1中或腔室1中的气体流出腔室1。
第二密封部分11划分成驱动单元及非驱动单元,且旋转传感器板23可安置于其间。旋转传感器板23可感测旋转轴6的旋转的度数。
旋转轴6可由旋转电动机16、第一旋转齿轮17以及第二旋转齿轮18旋转,且可通过提升电动机19、第一可拉伸部分12以及第二可拉伸部分13提升,以便于衬底在传递臂7与衬底支撑件8之间的装载/卸载。
更详细地说,图5A中示出的旋转轴6的提升及旋转可如下执行。
首先,旋转轴6的旋转如下执行。
如图5A中所示,旋转电动机16连接到提升板14,且第一旋转齿轮17可安置在旋转电动机16的一个表面上。第二旋转齿轮18安置在旋转轴6的一个表面上。旋转电动机16的旋转动力可通过由传送带(未示出)将第一旋转齿轮17连接到第二旋转齿轮18传输到旋转轴6,进而使旋转轴6旋转。
第二密封部分11的驱动单元及第二可拉伸部分13在旋转轴6旋转时一起旋转。如上文所描述,磁性流体在第一密封部分10与旋转轴6之间以及第二密封部分11与顶盖支撑件4之间供应以在旋转轴6旋转时阻挡外部大气的流入,且腔室1的内部空间9的气密性可得以维持。
接着,旋转轴6的提升可如下执行。
如图5A中所示,将提升电动机19及提升导向板20固定到提升导向固定板21。提升电动机19可将提升驱动力传输到提升导向板20。提升导向板20可将提升驱动力传输到提升传感器板15及提升板14以在竖直方向上驱动提升板14。
在一额外实例实施例中,提升导向板20及提升传感器板15包含螺纹且可彼此啮合以传输提升电动机19的驱动力。在另一实例实施例中,提升导向板20包含液压系统,所述液压系统传输提升电动机19的驱动力且可将升高电动机19的驱动力传输到提升传感器板15及提升板14。
提升传感器板15安置在提升板14的一侧上以限定提升板14的竖直移动的范围。
在一额外实例实施例中,多个提升板导向轴22可安置成穿过提升板14。在此,提升板14可沿着提升板导向轴22上下移动以在不脱离提升移动轨道的情况下执行可重现提升移动。
图5B是从不同方向观看的图5A的衬底处理装置的横截面图。在一实例实施例中,图5B可以是从旋转90度的方向上观看的图5A的衬底处理装置的视图。
参考图5B,顶盖支撑板5可通过至少一个顶盖支撑板固定轴25固定到腔室壁3。如上文所描述,为旋转轴6的旋转中心的顶盖支撑件4可通过引入顶盖支撑板固定轴25而固定。
图6A到图6D示出根据实例实施例的衬底处理装置,图5A和图5B的配置应用于所述衬底处理装置。图6A和图6B是衬底处理装置的立体图,图6C是衬底处理装置的正视图,且图6D是衬底处理装置的透视图。对图6A到图6D的每一部分的详细描述在本文中将并未给出,这是因为其描述于图5A及图5B中。
图7是根据实例实施例的衬底处理装置的部分放大视图。
参考图7中的虚线区A,顶盖支撑件4的与顶盖2接触的上部部分具有凸部分,且顶盖2的下表面具有对应凹部分。
顶盖支撑件4的凸部分耦合到凹部分,使得顶盖支撑件4可紧密地固定到顶盖2。当旋转轴6旋转时,有可能防止顶盖支撑件4摇晃。
同时,顶盖支撑件4的上部部分的结构不限于图7中示出的结构。举例来说,尽管顶盖支撑件4的上部部分示出为具有凸部分,但替代地,凹部分可形成于顶盖支撑件4的上部部分上,且凸部分可形成于顶盖2对应于所述凹部分的部分上。在额外变型中,顶盖支撑件4的上部部分的外表面具有突出突起部,且顶盖2的对应于突起部的部分可具有凹槽,所述突起部插入所述凹槽中。
根据一额外实例实施例,减震部件设置于顶盖2的下表面或顶盖支撑件4的上表面上,使得当顶盖2接触顶盖支撑件4时施加于顶盖支撑件4的冲击和由顶盖2的变形施加于顶盖支撑件4的冲击可减小。减震部件可在对应于顶盖支撑件4的位置处放置、应用或附接在顶盖2的下表面上。举例来说,减震部件可以是例如海绵、塑料等可吸收冲击的缓冲。
图8是根据实例实施例的添加有顶盖支撑框架26的衬底处理装置的部分截面视图。图9是图8的衬底处理装置的俯视图。
根据实例实施例的衬底处理装置可还包含配置成跨内部空间9支撑顶盖2的顶盖支撑框架26。更详细地说,参考图8和图9,顶盖支撑框架26将顶盖支撑件4连接到腔室壁3。此配置对于将集中在顶盖支撑件4上的顶盖2的载荷分散到整个腔室壁3中更有效,且因而可防止顶盖支撑件4的疲劳和其变形的增加。图8中的箭头指示顶盖2的载荷通过顶盖支撑框架26分散。
顶盖支撑框架26可通过旋拧、配合等以机械方式连接到顶盖支撑件4和/或腔室壁3。举例来说,多个顶盖支撑框架26可关于顶盖支撑件4对称地布置。
在图9中,四个顶盖支撑框架26布置于中心顶盖支撑件4周围,但本公开不限于此。举例来说,两个顶盖支撑框架对称地布置。此外,多个顶盖支撑框架26可对称地布置于衬底支撑件8之间的中心顶盖支撑件4周围,使得顶盖支撑框架26并不干扰衬底支撑件8和安置在对应于衬底支撑件8的顶盖2中的气体供应器。
图10到图13是根据其它实例实施例的添加有弹性部分的衬底处理装置的横截面图。
图10示出其中并未安置顶盖2的衬底处理装置,且图11示意性地示出当顶盖2安置在图10的衬底处理装置中时的弹性部分27。
参考图10,弹性部分27可安置在顶盖支撑件4上。弹性部分27可包含盖子27a和安置在盖子27a与顶盖支撑件4之间的弹性主体27b。弹性主体27b可以实施为弹簧、流体以及气体中的至少一个,或其组合。
弹性部分27在提升且随后再降低顶盖2以用于维护腔室1且将顶盖2放置在腔室壁3上时可吸收通过顶盖2的载荷施加于顶盖支撑件4的冲击力。另外,有可能最小化在顶盖2降低时可由冲击力引起的对衬底处理装置的损坏或最小化可归因于损坏而出现的例如颗粒的污染物的产生。通过适当地选择弹性主体27b,可更有效地控制由顶盖2引起的冲击力。
顶盖的用于维护腔室的提升操作的具体实例实施例详细地描述于韩国专利申请第10-2016-0096121号中。
根据额外实例实施例,至少一个弹性部分可安置在顶盖支撑件4的至少一个表面上以用于支撑顶盖和/或顶盖支撑框架26。举例来说,如图12中所示出,弹性部分27不仅安置在顶盖支撑件4的上部部分上而且安置在顶盖支撑框架26(尤其顶盖支撑框架26的上部部分)上,使得可更有效地吸收或减轻通过顶盖2的提升和降低施加的冲击力。
如上文所描述,可通过适当地控制弹性部分27的弹性压力来更有效地控制由顶盖2引起冲击力,且顶盖支撑件4和顶盖支撑框架26的稳定操作是更容易的。
为此目的,根据额外实例实施例,衬底处理装置可还包含连接到弹性部分和压力控制器的气体或流体供应管线。
更详细地说,如图13中所示出,衬底处理装置可还包含用于将流体或气体供应到顶盖支撑件4和顶盖支撑框架26的弹性部分27、弹性部分28以及弹性部分29的流体供应管线30、流体供应管线31以及流体供应管线32。流体供应管线30到流体供应管线32连接到用于供应流体的流体供应器(未示出),且弹性部分27到弹性部分29的压力可由用于控制压力的控制器(未示出)控制。当顶盖2降低时有可能通过控制弹性部分27的压力来控制顶盖2接触顶盖支撑件4和顶盖支撑框架26的速度,进而增强维护工作期间的稳定性。
在额外实例实施例中,流体供应管线30到流体供应管线32可执行顶盖支撑件4的冷却功能。举例来说,当衬底处理装置执行高温过程时,冷却剂可通过流体供应管线30到流体供应管线32循环,进而防止顶盖支撑件4的热膨胀问题。此流体供应管线可独立于弹性部分27到弹性部分29的存在而实施。举例来说,除弹性部分以外仅流体供应管线可施加于(图1的)顶盖支撑件150。因此,通过衬底处理装置的加热器(未示出)产生的热量可传输到顶盖支撑件4,且顶盖支撑件4的热膨胀可得以防止。
为了概述上文配置中的一些,根据实例实施例的衬底处理装置可如下描述。
衬底处理装置包含顶盖支撑件和/或顶盖支撑框架,其中顶盖支撑件和/或顶盖支撑框架可防止顶盖通过其自身重量和/或由真空泵产生的真空吸引力和/或通过高温过程下的热冲击变形。
衬底处理装置的旋转轴可具有中空结构,且顶盖支撑件可形成于中空结构中且延伸到顶盖以支撑顶盖。
为了使腔室的内部空间与外部隔离,可围绕通孔、旋转轴以及顶盖支撑件布置至少一个可拉伸部分和/或至少一个密封部分。
至少一个顶盖支撑板固定轴可经设置和/或顶盖支撑件的上部部分可具有结构,所述结构可固定到顶盖以便在旋转轴通过提升电动机和/或旋转电动机提升和/或旋转时固定连接到旋转轴的顶盖支撑件
顶盖支撑件和/或顶盖支撑框架可包含弹性部分,且弹性部分的压力可受控以在顶盖降低时控制顶盖接触顶盖支撑件和顶盖支撑框架的速度和冲击力。此可增强维护工作期间的稳定性。
上方公开内容提供包含顶盖支撑件的衬底处理装置的多个和多个示范性优点。出于简洁起见,已描述相关特征的仅有限数目的组合。然而,应理解,任何实例的特征可与任何其它实例的特征组合。此外,应理解,这些优点是非限制性的且在任何特定实例实施例中并未指定也未要求特定优点。
应理解,本文中所描述的实例实施例应仅在描述性意义上考虑,而非出于限制的目的。每个实例实施例内的特征或方面的描述应通常被视为可用于其它实例实施例中的其它类似特征或方面。
虽然已参考附图描述一或多个实例实施例,但本领域的普通技术人员将理解,可在不脱离如由以上权利要求限定的本公开的精神和范围的情况下对其进行各种形式和细节的改变。

Claims (20)

1.一种衬底处理装置,包括:
顶盖;
腔室壁,包含通孔;
多个衬底支撑件,布置在所述腔室壁中;
驱动轴,穿过所述腔室壁的所述通孔且在所述多个衬底支撑件之间延伸;以及
顶盖支撑件,形成于所述驱动轴的中空结构中且穿过所述通孔以支撑所述顶盖。
2.根据权利要求1所述的衬底处理装置,还包括:
第一板,连接到所述驱动轴;以及
第二板,连接到所述顶盖支撑件。
3.根据权利要求2所述的衬底处理装置,其中
所述第一板是可移动的,以及
所述第二板是固定的。
4.根据权利要求3所述的衬底处理装置,还包括:
驱动单元,连接到所述第一板且配置成使所述驱动轴上下移动。
5.根据权利要求3所述的衬底处理装置,还包括:
固定轴,在所述腔室壁与所述第二板之间延伸。
6.根据权利要求5所述的衬底处理装置,其中
所述顶盖支撑件以及所述第二板通过所述固定轴固定到所述腔室壁。
7.根据权利要求2所述的衬底处理装置,还包括:
第一屏蔽单元,配置成屏蔽所述腔室壁与所述驱动轴之间的空间;以及
第二屏蔽单元,配置成屏蔽所述驱动轴与所述第二板之间的空间。
8.根据权利要求7所述的衬底处理装置,其中
所述第一屏蔽单元以及所述第二屏蔽单元中的至少一个包括:
可拉伸部分;以及
旋转支撑部分,连接到所述可拉伸部分且配置成促进所述驱动轴的旋转。
9.一种衬底处理装置,包括:
顶盖;
腔室壁,包含通孔;
多个衬底支撑件,布置在所述腔室壁中;
驱动轴,穿过所述腔室壁的所述通孔且在所述多个衬底支撑件之间延伸;
顶盖支撑件,形成于所述驱动轴的中空结构中且穿过所述通孔以支撑所述顶盖;
第一板,连接到所述驱动轴;
第二板,配置成固定地支撑所述顶盖支撑件;
第一屏蔽单元,安置在所述第一板与所述腔室壁之间;
第二屏蔽单元,安置在所述第二板与所述驱动轴之间;
固定轴,从所述腔室壁延伸到所述第二板;
第一驱动单元,连接到所述第一板且配置成使所述驱动轴上下移动;以及
第二驱动单元,配置成使所述驱动轴旋转。
10.一种衬底处理装置,包括:
内部空间,由顶盖以及腔室壁限定;
排气部分,连接到所述内部空间;
多个衬底支撑件,布置在所述内部空间中;
通孔,穿过所述腔室壁的下表面且形成于所述多个衬底支撑件之间;以及
顶盖支撑件,配置成通过所述通孔支撑所述顶盖。
11.根据权利要求10所述的衬底处理装置,还包括:
驱动轴,配置成通过所述通孔围绕所述顶盖支撑件;
旋转电动机,配置成使所述驱动轴旋转;以及
提升电动机,配置成提升所述驱动轴。
12.根据权利要求11所述的衬底处理装置,还包括:
衬底传递旋转臂,连接到所述驱动轴的一个表面。
13.根据权利要求12所述的衬底处理装置,还包括:
第一密封部分,配置成围绕所述驱动轴;
第二密封部分,配置成围绕所述顶盖支撑件;
第一波纹管,配置成将所述第一密封部分连接到所述腔室壁的所述下表面;以及
第二波纹管,配置成将所述第二密封部分连接到所述驱动轴的下表面,其中
所述第一密封部分以及所述第二密封部分配置成使所述驱动轴以及所述顶盖支撑件与外部隔离。
14.根据权利要求13所述的衬底处理装置,还包括:
顶盖支撑板,配置成支撑所述顶盖支撑件;以及
至少一个顶盖支撑板固定轴,配置成将所述顶盖支撑板支撑在所述腔室壁的所述下表面上。
15.根据权利要求10所述的衬底处理装置,其中
所述顶盖支撑件与所述顶盖接触的上表面是弯曲的。
16.根据权利要求10所述的衬底处理装置,还包括:
至少一个顶盖支撑框架,其中
所述顶盖支撑框架连接到所述顶盖支撑件且配置成跨越所述内部空间支撑所述顶盖。
17.根据权利要求16所述的衬底处理装置,还包括:
至少一个弹性部分,在所述顶盖支撑件的至少一个表面上以用于支撑所述顶盖以及所述顶盖支撑框架。
18.根据权利要求17所述的衬底处理装置,其中
所述至少一个弹性部分包括:
盖子;以及
弹性主体。
19.根据权利要求18所述的衬底处理装置,其中
所述弹性主体包括弹簧、流体以及气体中的至少一个或上述的组合。
20.根据权利要求17所述的衬底处理装置,还包括:
气体或流体供应管线,连接到所述至少一个弹性部分;以及
压力控制器。
CN201810835270.2A 2017-07-31 2018-07-26 衬底处理装置 Active CN109326537B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020170097136A KR102481410B1 (ko) 2017-07-31 2017-07-31 기판 처리 장치
KR10-2017-0097136 2017-07-31

Publications (2)

Publication Number Publication Date
CN109326537A true CN109326537A (zh) 2019-02-12
CN109326537B CN109326537B (zh) 2022-04-19

Family

ID=65038948

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810835270.2A Active CN109326537B (zh) 2017-07-31 2018-07-26 衬底处理装置

Country Status (4)

Country Link
US (1) US20190035647A1 (zh)
KR (1) KR102481410B1 (zh)
CN (1) CN109326537B (zh)
TW (1) TWI677590B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111455341A (zh) * 2020-06-18 2020-07-28 上海陛通半导体能源科技股份有限公司 基于磁耦合旋转的物理气相沉积设备
CN115478263A (zh) * 2022-09-20 2022-12-16 材料科学姑苏实验室 一种气相生长装置

Families Citing this family (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
KR102289909B1 (ko) * 2020-04-06 2021-08-13 서울대학교산학협력단 벨로우즈 유닛을 사용한 진공 분위기 2차원 물질 전사 장치
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060137609A1 (en) * 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
KR20090078373A (ko) * 2008-01-15 2009-07-20 주식회사 뉴파워 프라즈마 다중 기판 처리 챔버와 이를 구비한 다중 기판 처리 시스템
US20090314208A1 (en) * 2008-06-24 2009-12-24 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
US20160222509A1 (en) * 2015-02-04 2016-08-04 Tokyo Electron Limited Substrate processing apparatus
KR101680635B1 (ko) * 2015-08-26 2016-11-29 국제엘렉트릭코리아 주식회사 기판 처리 장치
KR20170039839A (ko) * 2015-10-02 2017-04-12 주식회사 원익아이피에스 기판 처리 장치
US20170121819A1 (en) * 2015-10-29 2017-05-04 Lam Research Corporation Systems And Methods For Tilting A Wafer For Achieving Deposition Uniformity

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6712929B1 (en) * 2000-08-08 2004-03-30 Lam Research Corporation Deformation reduction at the main chamber
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
JP6001131B1 (ja) * 2015-04-28 2016-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060137609A1 (en) * 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
KR20090078373A (ko) * 2008-01-15 2009-07-20 주식회사 뉴파워 프라즈마 다중 기판 처리 챔버와 이를 구비한 다중 기판 처리 시스템
US20090314208A1 (en) * 2008-06-24 2009-12-24 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
US20160222509A1 (en) * 2015-02-04 2016-08-04 Tokyo Electron Limited Substrate processing apparatus
KR101680635B1 (ko) * 2015-08-26 2016-11-29 국제엘렉트릭코리아 주식회사 기판 처리 장치
KR20170039839A (ko) * 2015-10-02 2017-04-12 주식회사 원익아이피에스 기판 처리 장치
US20170121819A1 (en) * 2015-10-29 2017-05-04 Lam Research Corporation Systems And Methods For Tilting A Wafer For Achieving Deposition Uniformity

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111455341A (zh) * 2020-06-18 2020-07-28 上海陛通半导体能源科技股份有限公司 基于磁耦合旋转的物理气相沉积设备
CN115478263A (zh) * 2022-09-20 2022-12-16 材料科学姑苏实验室 一种气相生长装置
CN115478263B (zh) * 2022-09-20 2023-06-30 材料科学姑苏实验室 一种气相生长装置

Also Published As

Publication number Publication date
TW201910547A (zh) 2019-03-16
KR102481410B1 (ko) 2022-12-26
TWI677590B (zh) 2019-11-21
KR20190013109A (ko) 2019-02-11
US20190035647A1 (en) 2019-01-31
CN109326537B (zh) 2022-04-19

Similar Documents

Publication Publication Date Title
CN109326537A (zh) 衬底处理装置
CN101499418B (zh) 基板处理装置及其所使用的基板支撑构件
KR101160242B1 (ko) 회전 도입 기구, 기판 반송 장치 및 진공 처리 장치
TWI786283B (zh) 物品搬送裝置
KR20140138088A (ko) 선형 병진 캐리지를 갖는 진공 로봇
JP2022536141A (ja) 無菌状態又は超清浄状態でそれぞれの容器にキャップを被着するためのキャッピング装置
CN108700223A (zh) 以两件式阀盘关闭流路的真空阀
KR101338858B1 (ko) 개별적으로 구동되는 핸드를 갖는 기판 이송 장치 및 그 제어 방법
JP2013049128A (ja) ロボットのアーム構造およびロボット
CN1915769A (zh) 浮动组件、和具备该浮动组件的物品支承装置
KR101342335B1 (ko) 씰 기구 및 처리 장치
CN103806085A (zh) 衬底支撑模块
EP3981514A1 (en) Sample crushing apparatus
KR101473826B1 (ko) 기판회전 진공장치
JP2005161409A (ja) 搬送ロボットのシール構造
KR102045762B1 (ko) 링크 아암 장치
US20050095089A1 (en) Transfer chamber for flat display device manufacturing apparatus
JP6902422B2 (ja) 産業用ロボット
KR101541800B1 (ko) 기판 처리 장치
KR100926541B1 (ko) 흡착장치
KR102577853B1 (ko) 기판 처리 장비용 고토크형 매뉴퓰레이터
JP2010007790A (ja) 動力伝達機構
KR20110117500A (ko) 핸들링 로봇 및 이를 구비하는 반도체 소자 제조장비

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant