CN107731739A - 半导体结构的形成方法 - Google Patents

半导体结构的形成方法 Download PDF

Info

Publication number
CN107731739A
CN107731739A CN201610664696.7A CN201610664696A CN107731739A CN 107731739 A CN107731739 A CN 107731739A CN 201610664696 A CN201610664696 A CN 201610664696A CN 107731739 A CN107731739 A CN 107731739A
Authority
CN
China
Prior art keywords
layer
groove
opening
dielectric layer
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610664696.7A
Other languages
English (en)
Other versions
CN107731739B (zh
Inventor
张城龙
何其暘
王彦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp, Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201610664696.7A priority Critical patent/CN107731739B/zh
Priority to EP17185664.4A priority patent/EP3288066A1/en
Priority to US15/673,628 priority patent/US10347578B2/en
Publication of CN107731739A publication Critical patent/CN107731739A/zh
Priority to US16/422,655 priority patent/US10522463B2/en
Application granted granted Critical
Publication of CN107731739B publication Critical patent/CN107731739B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure

Abstract

一种半导体结构的形成方法,包括:提供基底,包括器件区域和围绕器件区域的外围区域,器件区域和外围区域的基底中均形成有底层金属互连结构;在基底上形成介质层;在外围区域介质层内形成具有第一深度的第一凹槽;在器件区域介质层内形成具有第二深度的第二凹槽,且第二深度值大于第一深度值;刻蚀第二凹槽底部和侧壁的介质层以及第一凹槽底部的介质层,分别在器件区域和外围区域介质层内形成露出底层金属互连结构的第一开口和第二开口。本发明使第一凹槽的深度值小于第二凹槽的深度值,沿第一凹槽和第二凹槽进行刻蚀时,可以避免先在外围区域形成暴露出底层金属互连结构的第二开口的问题。

Description

半导体结构的形成方法
技术领域
本发明涉及半导体领域,尤其涉及一种半导体结构的形成方法。
背景技术
半导体器件通常需要多层金属层提供足够的互连能力,其中,所述多层金属层之间的互连以及半导体器件有源区与外界电路的连接通过填充有导电材料的通孔实现。
随着超大规模集成电路的发展,半导体金属层的层数越来越多,并且通孔的尺寸随着集成电路特征尺寸的减小而逐渐减小。通孔尺寸越小,形成通孔的工艺难度越大,而通孔的形成质量对后端(Back End Of Line,BEOL)电路的性能影响很大,严重时会影响半导体器件的正常工作。
但是,现有技术形成的通孔,容易导致半导体器件的电学性能下降。
发明内容
本发明解决的问题是提供一种半导体结构的形成方法,优化半导体器件的电学性能。
为解决上述问题,本发明提供一种半导体结构的形成方法,包括:提供基底,所述基底包括器件区域和围绕所述器件区域的外围区域,所述器件区域基底和外围区域基底中均形成有底层金属互连结构;在所述基底上形成介质层;在所述外围区域介质层内形成第一凹槽,所述第一凹槽具有第一深度;在所述器件区域介质层内形成第二凹槽,所述第二凹槽具有第二深度,且所述第二深度值大于所述第一深度值;刻蚀所述第二凹槽底部和侧壁的介质层以及第一凹槽底部的介质层,在器件区域介质层内形成露出所述底层金属互连结构的第一开口,在外围区域介质层内形成露出所述底层金属互连结构的第二开口;向所述第一开口内填充导电材料,形成第一金属互连结构,向所述第二开口内填充导电材料,形成第二金属互连结构。
可选的,所述第一深度值和第二深度值的比值为0.2至0.8。
可选的,在器件区域介质层内形成露出所述底层金属互连结构的第一开口的步骤中,所述第一开口包括相互贯通的沟槽和通孔,所述沟槽底部和通孔顶部相连通且所述沟槽开口尺寸大于所述通孔开口尺寸。
可选的,提供基底后,在所述基底上形成介质层之前,所述形成方法还包括:在所述基底上形成刻蚀阻挡层;在所述基底上形成介质层的步骤中,在所述刻蚀阻挡层上形成所述介质层。
可选的,形成所述第一开口和第二开口的步骤包括:对所述第二凹槽底部和侧壁的介质层以及第一凹槽底部的介质层进行主刻蚀工艺,直至露出所述刻蚀停止层;在器件区域介质层内形成相互贯通的沟槽和初始通孔,在外围区域介质层内形成初始开口;其中所述沟槽底部和初始通孔顶部相连通且所述沟槽底部开口尺寸大于所述初始通孔顶部开口尺寸;对所述初始通孔底部和初始开口底部的刻蚀阻挡层进行过刻蚀工艺,在器件区域介质层内形成露出所述底层金属互连结构的通孔,在外围区域介质层内形成露出所述底层金属互连结构的第二开口;其中,所述沟槽底部和所述通孔顶部相连通构成第一开口,且所述沟槽底部开口尺寸大于所述通孔顶部开口尺寸。
可选的,所述主刻蚀工艺和所述过刻蚀工艺均为等离子干法刻蚀工艺。
可选的,所述主刻蚀工艺的工艺参数包括:刻蚀气体为CF4、CHF3、CH2F2或C4F8,稀释气体为N2、O2、CO、He或Ar,所述刻蚀气体的气体流量为10sccm至200sccm,所述稀释气体的气体流量为10sccm至200sccm,腔室压强为30mTorr至200mTorr。
可选的,所述过刻蚀工艺的工艺参数包括:刻蚀气体为CF4、CHF3、CH2F2或C4F8,稀释气体为N2、O2、CO、He或Ar,所述刻蚀气体的气体流量为10sccm至2000sccm,所述稀释气体的气体流量为10sccm至2000sccm,腔室压强为30mTorr至1000mTorr。
可选的,在所述基底上形成介质层的步骤包括:在所述基底上形成介电层;在所述介电层上形成氧化层;在所述氧化层上形成图形化的硬掩膜层,所述器件区域的硬掩膜层内具有第一掩膜开口,所述外围区域的硬掩膜层内具有第二掩膜开口;刻蚀所述第二凹槽底部和侧壁的介质层以及第一凹槽底 部的介质层的步骤中,以所述图形化的硬掩膜层为掩膜进行刻蚀。
可选的,形成所述第一凹槽的步骤包括:在所述器件区域的介质层上形成第一图形层,所述第一图形层覆盖所述器件区域的硬掩膜层和氧化层;以所述第一图形层和硬掩膜层为掩膜,沿所述第二掩膜开口依次刻蚀所述氧化层和部分厚度的介电层,在所述外围区域的介质层内形成第一凹槽。
可选的,形成所述第一图形层后,所述第二掩膜开口环绕所述第一图形层。
可选的,所述第一图形层的材料为光刻胶,形成所述第一图形层的步骤包括:采用Arf光刻工艺或Immersion光刻工艺形成所述第一图形层。
可选的,在形成所述第一凹槽的刻蚀过程中,原位去除所述第一图形层。
可选的,刻蚀形成所述第一凹槽的工艺为等离子干法刻蚀工艺。
可选的,所述等离子干法刻蚀工艺的工艺参数包括:刻蚀气体为CF4、CHF3、CH2F2或C4F8,稀释气体为N2、O2、CO、He或Ar,所述刻蚀气体的气体流量为10sccm至2000sccm,所述稀释气体的气体流量为10sccm至2000sccm,腔室压强为30mTorr至1000mTorr。
可选的,形成所述第二凹槽的步骤包括:形成填充满所述第一掩膜开口和第一凹槽的填充层,所述填充层还覆盖所述硬掩膜层顶部;在所述填充层上形成底部抗反射层;在所述底部抗反射层上形成第二图形层,所述第二图形层内具有露出部分所述器件区域底部抗反射层的第二凹槽图形开口,所述第二凹槽图形开口在所述基底上的投影位于所述底层金属层在所述基底上的投影内;以所述第二图形层为掩膜,沿所述第二凹槽图形开口依次刻蚀所述底部抗反射层、填充层、氧化层和部分厚度的介电层,在所述器件区域的介质层内形成第二凹槽。
可选的,形成所述第二凹槽的步骤包括:在所述第一掩膜开口和第二掩膜开口内填充第三图形层,所述第三图形层还覆盖所述硬掩膜层顶部;其中,所述第三图形层内具有露出部分所述器件区域氧化层的第二凹槽图形开口,所述第二凹槽图形开口在所述基底上的投影位于所述底层金属层在所述基底上的投影内;以所述第三图形层为掩膜,沿所述第二凹槽图形开口依次刻蚀 所述氧化层和部分厚度的介电层,在所述器件区域的介质层内形成第二凹槽。
可选的,形成所述第一凹槽的步骤包括:形成填充满所述第二凹槽和第一掩膜开口的填充层,所述填充层还覆盖所述器件区域的硬掩膜层顶部;在所述填充层上形成底部抗反射层;在所述底部抗反射层上形成第四图形层;以所述第四图形层和硬掩膜层为掩膜,沿所述第二掩膜开口依次刻蚀所述氧化层和部分厚度的介电层,在所述外围区域的介质层内形成第一凹槽。
可选的,形成所述第一开口和第二开口后,向所述第一开口和第二开口内填充导电材料之前,所述形成方法还包括:在所述第一开口和第二开口侧壁、所述第一开口和第二开口底部形成籽晶层。
与现有技术相比,本发明的技术方案具有以下优点:
本发明在器件区域形成第一开口,在外围区域形成第二开口的工艺过程中,在外围区域介质层内形成第一凹槽,在器件区域介质层内形成第二凹槽,且第一凹槽的深度值小于第二凹槽的深度值;因此,后续沿所述第一凹槽和第二凹槽进行刻蚀工艺时,可以避免先在外围区域形成暴露出底层金属互连结构的第二开口的问题,从而避免形成第一开口的过程中,继续沿所述第二开口对所述器件区域的底层金属互连结构进行刻蚀,以避免对所述外围区域的底层金属互连结构造成损伤,进而可以提高半导体器件的电学性能。
可选方案中,所述第一深度值和第二深度值的比值为0.2至0.8,所述第一凹槽和第二凹槽的深度值设定合理,一方面可以避免在形成第一开口的过程中,所述第二开口过早暴露出所述底层金属互连结构,进而避免对所述底层金属互连结构造成损伤的问题;另一方面还可以保证形成露出所述底层金属互连结构的第一开口后,所述第二开口也可以露出所述底层金属互连结构。
附图说明
图1至图5是一种半导体结构的形成方法中各步骤对应结构示意图;
图6至图16是本发明半导体结构的形成方法一实施例中各步骤对应结构示意图。
具体实施方式
由背景技术可知,形成通孔的工艺,容易导致半导体器件的电性能较差。结合参考图1至图5,示出了一种半导体结构的形成方法中各步骤对应结构示意图。所述半导体结构的形成方法包括以下步骤:
参考图1,提供基底100,所述基底100包括器件区域I以及环绕所述器件区域I的外围区域II,所述器件区域I基底100和外围区域II基底100中均形成有底层金属互连结构110,所述底层金属互连结构110包括底层刻蚀阻挡层111,位于所述底层刻蚀阻挡层111上的底层介电层112,以及位于所述底层介电层112内的底层金属层113。
参考图2,在所述基底100上形成介质层(未标示),所述介质层包括依次位于所述基底100上的刻蚀阻挡层120、介电层130、氧化层140及金属硬掩膜层150。
参考图3,图形化所述金属硬掩膜层150,在所述器件区域I的金属硬掩膜层150内形成贯穿所述金属硬掩膜层150的第一图形开口151,在所述外围区域II的金属硬掩膜层150内形成贯穿所述金属硬掩膜层150的第二图形开口152,所述第一图形开口151的位置及开口大小与后续在器件区域I介质层内形成的沟槽的位置及开口大小相同,所述第二图形开口152的位置及开口大小与后续在外围区域II介质层内形成的第二开口的位置及开口大小相同。
参考图4,在所述氧化层140的部分表面形成图形层(图未示),所述图形层还覆盖所述图形化的金属硬掩膜层150表面,所述图形层内具有位于器件区域I的第三图形开口(图未示),以及位于外围区域II的第四图形开口,其中所述第三图形开口在所述基底100上的投影位于所述第一图形开口151在所述基底100上的投影内,所述第四图形开口在所述基底100上的投影位于所述第二图形开口152在所述基底100上的投影内;以所述图形层为掩膜,沿所述第三图形开口和第四图形开口依次刻蚀所述氧化层140和部分厚度的介电层130,在所述器件区域I的介质层(未标示)内形成第一凹槽131,在所述外围区域II的介质层内形成第二凹槽132,其中,所述第一凹槽131和第二凹槽132在同一刻蚀工艺中形成;去除所述图形层。
参考图5,以所述图形化的金属硬掩膜层150为掩膜,沿所述第一图形开 口151(如图3所示)刻蚀所述氧化层140以及所述第一凹槽131(如图4所示)底部和侧壁的介电层130,直至露出所述刻蚀阻挡层120,在所述器件区域I介质层内形成相互贯通的沟槽133和初始通孔121;沿所述第二图形开口152(如图3所示)刻蚀所述第二凹槽132(如图4所示)底部的介电层130,直至露出所述刻蚀阻挡层120,在所述外围区域II介质层内形成初始开口134。
后续步骤还包括:对所述第一初始通孔121底部的刻蚀阻挡层120进行刻蚀,形成露出所述器件区域I底层金属互连结构110的通孔,所述沟槽133和通孔构成第一开口,其中所述沟槽133底部和通孔顶部相连通且所述沟槽133底部开口尺寸大于所述通孔顶部开口尺寸;对所述初始开口134底部的刻蚀阻挡层120进行刻蚀,形成露出所述外围区域II底层金属互连结构110的第二开口。
但是,由于所述器件区域I的图形密集度大于所述外围区域II的图形密集度,在同一刻蚀条件下,图形密集度越大,刻蚀速率越小,因此,对所述器件区域I介电层130的刻蚀速率小于对所述外围区域II介电层130的刻蚀速率。在形成所述第一初始通孔121和初始开口134的过程中,所述初始开口134相比所述第一初始通孔121,先露出所述刻蚀停止层120,因此沿所述第一图形开口151继续刻蚀所述介电层130以形成所述第一初始通孔121的过程中,还会对所述初始开口134暴露出的刻蚀停止层120甚至底层金属互连结构110进行刻蚀,从而对所述外围区域II的底层金属互连结构110造成损伤,进而导致半导体器件的电学性能下降。
为了解决所述技术问题,本发明提供一种半导体结构的形成方法,包括:提供基底,所述基底包括器件区域和围绕所述器件区域的外围区域,所述器件区域基底和外围区域基底中均形成有底层金属互连结构;在所述基底上形成介质层;在所述外围区域介质层内形成第一凹槽,所述第一凹槽具有第一深度;在所述器件区域介质层内形成第二凹槽,所述第二凹槽具有第二深度,且所述第二深度值大于所述第一深度值;刻蚀所述第二凹槽底部和侧壁的介质层以及第一凹槽底部的介质层,在器件区域介质层内形成露出所述底层金属互连结构的第一开口,在外围区域介质层内形成露出所述底层金属互连结构的第二开口;向所述第一开口内填充导电材料,形成第一金属互连结构, 向所述第二开口内填充导电材料,形成第二金属互连结构。
本发明在器件区域形成第一开口,在外围区域形成第二开口的工艺过程中,在外围区域介质层内形成第一凹槽,在器件区域介质层内形成第二凹槽,且第一凹槽的深度值小于第二凹槽的深度值;因此,后续沿所述第一凹槽和第二凹槽进行刻蚀工艺时,可以避免先在外围区域形成暴露出底层金属互连结构的第二开口的问题,从而避免形成第一开口的过程中,继续沿所述第二开口对所述器件区域的底层金属互连结构进行刻蚀,以避免对所述外围区域的底层金属互连结构造成损伤,进而可以提高半导体器件的电学性能。
为使本发明的上述目的、特征和优点能够更为明显易懂,下面结合附图对本发明的具体实施例做详细的说明。
图6至图16是本发明半导体结构的形成方法一实施例中各步骤对应结构示意图。
参考图6,提供基底200,所述基底200包括器件区域Ⅰ和围绕所述器件区域Ⅰ的外围区域Ⅱ,所述器件区域Ⅰ基底200和外围区域Ⅱ基底200中均形成有底层金属互连结构210。
所述基底200为后续形成半导体结构提供工艺平台。
所述底层金属互连结构210用于与待形成的金属互连结构相连接,也可用于与外部器件或其他金属层相连接。
本实施例中,所述底层金属互连结构210包括:位于基底200中的底层刻蚀阻挡层211,位于所述底层刻蚀阻挡层211上的底层介电层212,以及位于所述底层介电层212内的底层金属层213,所述底层金属层213顶部与所述底层介电层212顶部齐平。
所述器件区域Ⅰ基底200和外围区域Ⅱ基底200内均可以形成有一个底层金属层213,或者,均可以形成有多个底层金属层213。当所述器件区域Ⅰ基底200和外围区域Ⅱ基底200内均形成有多个底层金属层213时,所述多个底层金属层213在平行于所述基底200表面方向上的尺寸相同或不同。
本实施例中,所述底层金属层213的材料为Cu。在其他实施例中,所述 底层金属层的材料还可以为Al或W等导电材料。
结合参考图7和图8,在所述基底200上形成介质层(未标示)。
所述介质层为后续形成金属互连结构提供工艺平台。
本实施例中,所述介质层包括:位于所述基底200上的介电层230;位于所述介电层230上的氧化层240;位于所述氧化层240上的图形化的硬掩膜层251(如图8所示),其中所述器件区域Ⅰ的硬掩膜层251内具有第一掩膜开口252(如图8所示),所述外围区域Ⅱ的硬掩膜层251内具有第二掩膜开口253(如图8所示)。
所述介电层230用于使待形成的金属互连结构之间相互绝缘。本实施例中,所述介电层230的材料具有多孔结构,所述具有多孔结构的材料为低k介质材料(低k介质材料指相对介电常数大于或等于2.6、小于等于3.9的介质材料)或超低k介质材料(超低k介质材料指相对介电常数小于2.6的介质材料),从而可以有效地降低待形成的金属互连结构之间的寄生电容,进而减小后端(Back End Of Line,BEOL)RC延迟。
所述介电层230的材料可以是SiOH、SiOCH、FSG(掺氟的二氧化硅)、BSG(掺硼的二氧化硅)、PSG(掺磷的二氧化硅)、BPSG(掺硼磷的二氧化硅)、氢化硅倍半氧烷(HSQ,(HSiO1.5)n)或甲基硅倍半氧烷(MSQ,(CH3SiO1.5) n)。本实施例中,所述介电层230的材料为超低k介质材料,所述超低k介质材料为SiOCH,形成所述介电层230的工艺为化学气相沉积法。
所述氧化层240作为后续刻蚀工艺的刻蚀缓冲层。由于所述介电层230为超低k介质材料,特性松软,容易发生过刻蚀现象;而所述氧化层240致密度和硬度较好,通过在所述介电层230上形成所述氧化层240,在后续刻蚀工艺中更好地控制刻蚀所述介电层230的厚度,进而保证后续形成的开口的形貌与尺寸满足工艺规格。本实施例中,所述氧化层240的材料为氧化硅,形成所述氧化层240的工艺为化学气相沉积法。
所述硬掩膜层251用于在后续刻蚀工艺过程中起到刻蚀掩膜的作用。以所述图形化的硬掩膜层251为掩膜进行刻蚀,可以更好地控制开口的图形形貌,使形成的开口形貌更光滑。
本实施例中,所述硬掩膜层251为金属硬掩膜层251,所述金属硬掩膜层251的材料为氮化钛,形成所述金属硬掩膜层251的工艺为物理气相沉积法。在其他实施例中,所述金属硬掩膜层的材料还可以为钛或氮化铜。
具体地,形成所述介质层的步骤包括:在所述底层金属互连结构210上形成介电层230;在所述介电层230上形成氧化层240;在所述氧化层240上形成金属硬掩膜250(如图7所示);在所述硬掩膜250上形成第一底部抗反射层300(如图7所示);在所述第一底部抗反射层300上形成第一光刻胶层310(如图7所示),所述器件区域Ⅰ的第一光刻胶层310内具有第一图形开口311(如图7所示),所述外围区域Ⅱ的第一光刻胶层310内具有第二图形开口312(如图7所示);以所述第一光刻胶层310为掩膜,沿所述第一图形开口311和第二图形开口312依次刻蚀所述第一底部抗反射层300和金属硬掩膜250,在所述器件区域Ⅰ的硬掩膜250内形成露出所述氧化层240的第一掩膜开口252(如图8所示),在所述外围区域Ⅱ的硬掩膜250内形成露出所述氧化层240的第二掩膜开口253(如图8所示),剩余的硬掩膜250为图形化的硬掩膜层251(如图8所示);去除所述第一光刻胶层310和第一底部抗反射层300。
所述第一底部抗反射层300用于吸收折射进入所述第一底部抗反射层300的光线,从而改善驻波效应,提高曝光显影后的图形质量。
所述第一掩膜开口252在所述基底200上的投影至少覆盖一个器件区域Ⅰ底层金属层213在所述基底200上的投影;所述第二掩膜开口253在所述基底200上的投影至少覆盖一个外围区域Ⅱ底层金属层213在所述基底200上的投影。
本实施例中,所述第一掩膜开口252在所述基底200上的投影覆盖两个器件区域Ⅰ底层金属层213在所述基底200上的投影,从而使后续可以通过一个金属互连结构与两个器件区域Ⅰ的底层金属层213相接触;所述第二掩膜开口253在所述基底200上的投影覆盖一个外围区域Ⅱ底层金属层213在所述基底200上的投影。
需要说明的是,提供所述基底200后,在所述基底200上形成介质层之 前,所述形成方法还包括:在所述基底200上形成刻蚀阻挡层220(如图7所示)。相应的,在所述基底200上形成介质层的步骤中,在所述刻蚀阻挡层220上形成所述介质层。
所述刻蚀阻挡层220的顶部表面用于定义后续刻蚀工艺中刻蚀停止位置。
具体地,所述刻蚀阻挡层220的材料设置为:在后续刻蚀工艺中,刻蚀所述介电层230的刻蚀工艺对所述刻蚀阻挡层220的刻蚀速率较小,从而起到刻蚀停止作用,防止刻蚀所述介电层230的过程对所述基底200或所述底层金属层213造成过刻蚀。
需要说明的是,后续在所述器件区域Ⅰ的介电层230中形成初始通孔、在所述外围区域Ⅱ的介电层230中形成初始开口之后,还需要对所述初始通孔底部和初始开口底部的刻蚀阻挡层220进行过刻蚀(Over Etch)工艺以露出所述底层金属层213;因此,所述刻蚀阻挡层220的材料还需要设置为:所述过刻蚀工艺对所述刻蚀阻挡层220的刻蚀速率大于对所述底层金属层213的刻蚀速率,从而可以减小对所述底层金属层213的刻蚀损伤。
本实施例中,所述刻蚀阻挡层220的材料为碳氮化硅,形成所述刻蚀阻挡层220的工艺为化学气相沉积法。
结合参考图9和图10,在所述外围区域Ⅱ介质层(未标示)内形成第一凹槽231(如图10所示),所述第一凹槽231具有第一深度H1(如图10所示)。
所述第一凹槽231为后续形成露出所述外围区域Ⅱ底层金属层213的第二开口提供工艺基础。
具体地,形成所述第一凹槽231的步骤包括:在所述器件区域Ⅰ的介质层上形成第一图形层320,所述第一图形层320覆盖所述器件区域Ⅰ的硬掩膜层251和氧化层240;以所述第一图形层320和硬掩膜层251为掩膜,沿所述第二掩膜开口253(如图9所示)依次刻蚀所述氧化层240和部分厚度的介电层230,在所述外围区域Ⅱ的介质层内形成第一凹槽231。
本实施例中,所述外围区域Ⅱ环绕所述器件区域Ⅰ;相应的,形成所述第一图形层320后,所述第二掩膜开口253环绕所述第一图形层320。
本实施例中,所述第一图形层320的材料为光刻胶,可以采用Arf光刻工艺或Immersion光刻工艺形成所述第一图形层320。
需要说明的是,本实施例中,在形成所述第一凹槽231的刻蚀过程中,原位(In-situ)去除所述第一图形层320;其中,原位去除指的是:形成所述第一凹槽231后,所述第一图形层320也被去除,无需额外工艺去除所述第一图形层320。在另一实施例中,形成所述第一凹槽231后,还可以额外采用湿法去胶或灰化工艺去除所述第一图形层320。
本实施例中,刻蚀形成所述第一凹槽231的工艺为等离子干法刻蚀工艺。具体地,所述等离子干法刻蚀工艺的工艺参数包括:刻蚀气体为CF4,稀释气体为N2、O2、CO、He或Ar,所述刻蚀气体的气体流量为10sccm至2000sccm,所述稀释气体的气体流量为10sccm至2000sccm,腔室压强为30mTorr至1000mTorr。在其他实施例中,所述刻蚀气体还可以为CHF3、CH2F2或C4F8等C-F基等离子体刻蚀气体。
需要说明的是,所述第一凹槽231的剖面形貌可以为倒梯形,或所述第一凹槽231的侧壁垂直于所述基底200表面。本实施例中,所述第一凹槽231的侧壁垂直于所述基底200表面。
结合参考图11至图13,在所述器件区域Ⅰ介质层内形成第二凹槽232(如图13所示),所述第二凹槽232具有第二深度H2(如图13所示),且所述第二深度H2值大于所述第一深度H1(如图13所示)值。
所述第二凹槽232为后续在所述器件区域Ⅰ的介质层内形成露出所述底层金属层213的通孔提供工艺基础。
具体地,形成所述第二凹槽232的步骤包括:形成填充满所述第一掩膜开口252(如图8所示)和第一凹槽231(如图10所示)的填充层330,所述填充层330还覆盖所述硬掩膜层251顶部;在所述填充层330上形成第二底部抗反射层340;在所述第二底部抗反射层340上形成第二图形层350,所述第二图形层350内具有露出部分所述器件区域Ⅰ第二底部抗反射层340的第二凹槽图形开口351(如图11所示),所述第二凹槽图形开口351在所述基底200上的投影位于所述底层金属层213在所述基底200上的投影内;以所述第 二图形层350为掩膜,沿所述第二凹槽图形开口351依次刻蚀所述第二底部抗反射层340、填充层330、氧化层240和部分厚度的介电层230,在所述器件区域Ⅰ介质层内形成第二凹槽232(如图13所示)。
本实施例中,刻蚀形成所述第二凹槽232的工艺为等离子干法刻蚀工艺。具体地,所述等离子干法刻蚀工艺的工艺参数包括:刻蚀气体为CF4,稀释气体为N2、O2、CO、He或Ar,所述刻蚀气体的气体流量为10sccm至2000sccm,所述稀释气体的气体流量为10sccm至2000sccm,腔室压强为30mTorr至1000mTorr。在其他实施例中,所述刻蚀气体还可以为CHF3、CH2F2或C4F8等C-F基等离子体刻蚀气体。
需要说明的是,所述第一深度H1值和第二深度H2值的比值不宜过小,也不宜过大。后续在同一刻蚀步骤中,对所述第一凹槽231和第二凹槽232进行刻蚀,如果所述比值过小,当沿所述第二凹槽232刻蚀所述介电层230直至露出所述刻蚀阻挡层220时,所述第一凹槽231还未暴露出所述刻蚀阻挡层220,因此当所述第一凹槽231暴露出所述刻蚀阻挡层220时,容易导致所述第二凹槽232暴露出所述底层金属层213,甚至对所述底层金属层213造成刻蚀损伤;相反,所述比值也不宜过大。为此,本实施例中,所述第一深度H1值和第二深度H2值的比值为0.2至0.8。
所述填充层330的材料与所述介质层的材料不同,且所述填充层330为易于被去除的材料,使得后续去除所述填充层330的工艺不会对所述介质层造成损伤。
本实施例中,所述填充层330的材料为ODL(Organic Dielectric Layer)材料,采用旋转涂覆工艺形成所述填充层330,且所述填充层330顶部高于所述硬掩膜层251顶部。在其他实施例中,所述填充层的材料还可以为DUO(Deep UV Light Absorbing Oxide)材料。其中,所述DUO材料是一种硅氧烷聚合体材料,包括CH3-SiOX、Si-OH、或SiOH3等。
所述第二底部抗反射层340用于吸收折射进入所述第二底部抗反射层340的光线,从而改善驻波效应,提高曝光显影后的图形质量。
本实施例中,所述第二图形层350的材料为光刻胶。
所述填充层330、第二底部抗反射层340和第二图形层350相当于三层复合图形层,具有较好的厚度及图形传递质量。本实施例中,所述填充层330的厚度为所述第二底部抗反射层340的厚度为
需要说明的是,形成所述第二凹槽232后,去除所述第二图形层350、第二底部抗反射层340和填充层330。
本实施例中,采用湿法去胶或灰化工艺去除所述第二图形层350、第二底部抗反射层340和填充层330。
需要说明的是,所述第二凹槽232的剖面形貌可以为倒梯形,或所述第二凹槽232的侧壁垂直于所述基底200表面。本实施例中,所述第二凹槽232的侧壁垂直于所述基底200表面。
还需要说明的是,本实施例中,先形成所述第一凹槽231,再形成所述第二凹槽232。在另一实施例中,还可以先形成所述第二凹槽,再形成所述第一凹槽。
相应的,形成所述第二凹槽的步骤包括:在所述第一掩膜开口和第二掩膜开口内填充第三图形层,所述第三图形层还覆盖所述硬掩膜层顶部;其中,所述第三图形层内具有露出部分所述器件区域氧化层的第二凹槽图形开口,所述第二凹槽图形开口在所述基底上的投影位于所述底层金属层在所述基底上的投影内;以所述第三图形层为掩膜,沿所述第二凹槽图形开口依次刻蚀所述氧化层和部分厚度的介电层,在所述器件区域的介质层内形成第二凹槽。
相应的,形成所述第一凹槽的步骤包括:形成填充满所述第二凹槽和第一掩膜开口的填充层,所述填充层还覆盖所述器件区域的硬掩膜层顶部;在所述填充层上形成底部抗反射层;在所述底部抗反射层上形成第四图形层;以所述第四图形层和硬掩膜层为掩膜,沿所述第二掩膜开口依次刻蚀所述氧化层和部分厚度的介电层,在所述外围区域的介质层内形成第一凹槽。
参考图14和图15,刻蚀所述第二凹槽232(如图13所示)底部和侧壁的介质层以及第一凹槽231(如图13所示)底部的介质层,在器件区域Ⅰ介质层内形成露出所述底层金属互连结构210的第一开口262,在外围区域Ⅱ介 质层内形成露出所述底层金属互连结构210的第二开口263。
所述第一开口262为后续形成第一金属互连结构提供空间位置,所述第二开口263为后续形成第二金属互连结构提供空间位置。
本实施例中,所述第一开口262包括相互贯通的沟槽233和通孔236,所述沟槽233底部和通孔236顶部相连通且所述沟槽233底部开口尺寸大于所述通孔236顶部开口尺寸。
具体地,形成所述第一开口262和第二开口263的步骤包括:以所述硬掩膜层251为掩膜,对所述第二凹槽232底部和侧壁的介质层以及第一凹槽231底部的介质层进行主刻蚀工艺,直至露出所述刻蚀停止层220,在器件区域Ⅰ的介质层内形成相互贯通的沟槽233和初始通孔234(如图14所示),在外围区域Ⅱ的介质层内形成初始开口261(如图14所示);其中所述沟槽233底部和初始通孔234顶部相连通且所述沟槽233底部开口尺寸大于所述初始通孔234顶部开口尺寸;对所述初始通孔234底部和初始开口261底部的刻蚀阻挡层220进行过刻蚀工艺,在器件区域Ⅰ的介质层内形成露出所述底层金属互连结构210的通孔236(如图14所示),在外围区域Ⅱ的介质层内形成露出所述底层金属互连结构210的第二开口263;其中,所述沟槽233底部和所述通孔236顶部相连通构成第一开口262,且所述沟槽233底部开口尺寸大于所述通孔236顶部开口尺寸。
所述沟槽233至少与一个通孔236相互贯通。本实施例中,所述第一掩膜开口252(如图8所示)在所述基底200上的投影覆盖两个器件区域Ⅰ底层金属层213在所述基底200上的投影,因此所述沟槽233与两个相邻的通孔236相互贯通,即所述沟槽233暴露出相邻的两个通孔236。
需要说明的是,本实施例中,所述沟槽233、通孔236以及第二开口263的侧壁垂直于所述基底200表面。在另一实施例中,所述沟槽、通孔和第二开口的剖面形貌还可以为倒梯形。
本实施例中,所述主刻蚀工艺和所述过刻蚀工艺均为等离子干法刻蚀工艺。
具体地,所述主刻蚀工艺的工艺参数包括:刻蚀气体为CF4,稀释气体为 N2、O2、CO、He或Ar,所述刻蚀气体的气体流量为10sccm至200sccm,所述稀释气体的气体流量为10sccm至200sccm,腔室压强为30mTorr至200mTorr。在其他实施例中,所述刻蚀气体还可以是CHF3、CH2F2或C4F8等C-F基等离子体刻蚀气体。
具体地,所述过刻蚀工艺的工艺参数包括:刻蚀气体为CF4,稀释气体为N2、O2、CO、He或Ar,所述刻蚀气体的气体流量为10sccm至2000sccm,所述稀释气体的气体流量为10sccm至2000sccm,腔室压强为30mTorr至1000mTorr。在其他实施例中,所述刻蚀气体还可以是CHF3、CH2F2或C4F8等C-F基等离子体刻蚀气体。
需要说明的是,形成所述第一开口262和第二开口263后,所述形成方法还包括:采用羟基多巴胺有机溶剂(EKC)和稀释氢氟酸(DHF)溶液湿法清洗所述第一开口262和第二开口263,提高所述第一开口262和第二开口263的表面洁净度,从而提高后续第一金属互连结构和第二金属互连结构的形成质量。其中,可以先采用EKC溶液进行清洗,也可以先采用DHF溶液进行清洗。
所述EKC溶液是不含氟的碱性溶液,用于减小DHF溶液的氟元素对所述氧化层240和所述介电层230结合处界面的腐蚀作用。本实施例中,所述EKC溶液的湿法清洗时间为1分钟至20分钟;所述DHF溶液的去离子水和氢氟酸(HF)的体积比是100:1至1000:1,所述DHF溶液的湿法清洗时间为1分钟至20分钟。
参考图16,向所述第一开口262(如图15所示)内填充导电材料410,形成第一金属互连结构271,向所述第二开口263(如图15所示)内填充导电材料410,形成第二金属互连结构272。
所述第一金属互连结构271和第二金属互连结构272用于与上层的金属互连结构相连接,也可用于与外部器件或其他金属层相连接。
具体地,形成所述第一金属互连结构271和第二金属互连结构272的步骤包括:在所述第一开口262和第二开口263内填充满导电材料410,所述导电材料410还覆盖所述硬掩膜层251(如图15所示)顶部;去除高于所述介 电层230顶部的导电材料410,且还去除高于所述介电层230顶部的硬掩膜层251和氧化层240(如图15所示),形成第一金属互连结构271和第二金属互连结构272。
本实施例中,所述第一金属互连结构271包括位于所述器件区域Ⅰ底层金属互连结构210上的刻蚀停止层220,位于所述刻蚀停止层220上的介电层230,以及位于所述介电层230内的导电材料410;所述第二金属互连结构272包括位于所述外围区域Ⅱ底层金属互连结构210上的刻蚀停止层220,位于所述刻蚀停止层220上的介电层230,以及位于所述介电层230内的导电材料410。
本实施例中,所述导电材料410为Cu,形成所述导电材料410的工艺为电镀工艺。在其他实施例中,所述导电材料还可以为Al或W等导电材料。
需要说明的是,形成所述第一开口262和第二开口263后,向所述第一开口262和第二开口263内填充所述导电材料410之前,所述形成方法还包括:在所述第一开口262和第二开口263的底部和侧壁上形成阻挡层(图未示),所述阻挡层还覆盖于所述硬掩膜层251表面;在所述阻挡层上形成粘附层(图未示)。
所述阻挡层用于向所述第一开口262和第二开口263内填充所述导电材料410的过程中,防止所述导电材料410渗入至所述介电层230中,从而避免影响对后端(Back End OfLine,BEOL)电路性能的影响。本实施例中,所述阻挡层的材料为TaN。在另一实施例中,所述阻挡层的材料还可以为Ru。
所述粘附层用于向所述第一开口262和第二开口263内填充所述导电材料410时增加所述导电材料410的粘附性,从而提高所述导电材料410的填充能力,进而提高所述第一金属互连结构271和第二金属互连结构272的形成质量。本实施例中,所述粘附层的材料为Ta。
还需要说明的是,形成所述粘附层后,向所述第一开口262和第二开口263内填充所述导电材料410之前,所述形成方法还包括:在所述粘附层上溅射沉积金属势垒层和铜的籽晶层(未标注),以提高电镀过程中导电材料410的覆盖能力。
本实施例中,在器件区域Ⅰ形成第一开口262(如图15所示),在外围区域Ⅱ形成第二开口263(如图15所示)的工艺过程中,在外围区域Ⅱ介质层内形成第一凹槽231(如图13所示),在器件区域Ⅰ介质层内形成第二凹槽232(如图13所示),且所述第一凹槽231的深度H1(如图13所示)值小于所述第二凹槽232的深度H2(如图13所示)值;因此,后续沿所述第一凹槽231和第二凹槽232进行刻蚀时,可以避免先在外围区域Ⅱ形成暴露出底层金属互连结构210(如图15所示)的第二开口263的问题,从而避免在形成第一开口262的过程中,继续沿所述第二开口263对所述底层金属互连结构210进行刻蚀,以避免对所述外围区域Ⅱ的底层金属互连结构210造成刻蚀损伤,进而可以提高半导体器件的电学性能。
虽然本发明披露如上,但本发明并非限定于此。任何本领域技术人员,在不脱离本发明的精神和范围内,均可作各种更动与修改,因此本发明的保护范围应当以权利要求所限定的范围为准。

Claims (19)

1.一种半导体结构的形成方法,其特征在于,包括:
提供基底,所述基底包括器件区域和围绕所述器件区域的外围区域,所述器件区域基底和外围区域基底中均形成有底层金属互连结构;
在所述基底上形成介质层;
在所述外围区域介质层内形成第一凹槽,所述第一凹槽具有第一深度;
在所述器件区域介质层内形成第二凹槽,所述第二凹槽具有第二深度,且所述第二深度值大于所述第一深度值;
刻蚀所述第二凹槽底部和侧壁的介质层以及第一凹槽底部的介质层,在器件区域介质层内形成露出所述底层金属互连结构的第一开口,在外围区域介质层内形成露出所述底层金属互连结构的第二开口;
向所述第一开口内填充导电材料,形成第一金属互连结构,向所述第二开口内填充导电材料,形成第二金属互连结构。
2.如权利要求1所述的半导体结构的形成方法,其特征在于,所述第一深度值和第二深度值的比值为0.2至0.8。
3.如权利要求1所述的半导体结构的形成方法,其特征在于,在器件区域介质层内形成露出所述底层金属互连结构的第一开口的步骤中,所述第一开口包括相互贯通的沟槽和通孔,所述沟槽底部和通孔顶部相连通且所述沟槽开口尺寸大于所述通孔开口尺寸。
4.如权利要求3所述的半导体结构的形成方法,其特征在于,提供基底后,在所述基底上形成介质层之前,所述形成方法还包括:在所述基底上形成刻蚀阻挡层;
在所述基底上形成介质层的步骤中,在所述刻蚀阻挡层上形成所述介质层。
5.如权利要求4所述的半导体结构的形成方法,其特征在于,形成所述第一开口和第二开口的步骤包括:对所述第二凹槽底部和侧壁的介质层以及第一凹槽底部的介质层进行主刻蚀工艺,直至露出所述刻蚀停止层;在器件区域介质层内形成相互贯通的沟槽和初始通孔,在外围区域介质层内形成初始开口;其中所述沟槽底部和初始通孔顶部相连通且所述沟槽底部开口尺寸大于所述初始通孔顶部开口尺寸;
对所述初始通孔底部和初始开口底部的刻蚀阻挡层进行过刻蚀工艺,在器件区域介质层内形成露出所述底层金属互连结构的通孔,在外围区域介质层内形成露出所述底层金属互连结构的第二开口;其中,所述沟槽底部和所述通孔顶部相连通构成第一开口,且所述沟槽底部开口尺寸大于所述通孔顶部开口尺寸。
6.如权利要求5所述的半导体结构的形成方法,其特征在于,所述主刻蚀工艺和所述过刻蚀工艺均为等离子干法刻蚀工艺。
7.如权利要求6所述的半导体结构的形成方法,其特征在于,所述主刻蚀工艺的工艺参数包括:刻蚀气体为CF4、CHF3、CH2F2或C4F8,稀释气体为N2、O2、CO、He或Ar,所述刻蚀气体的气体流量为10sccm至200sccm,所述稀释气体的气体流量为10sccm至200sccm,腔室压强为30mTorr至200mTorr。
8.如权利要求6所述的半导体结构的形成方法,其特征在于,所述过刻蚀工艺的工艺参数包括:刻蚀气体为CF4、CHF3、CH2F2或C4F8,稀释气体为N2、O2、CO、He或Ar,所述刻蚀气体的气体流量为10sccm至2000sccm,所述稀释气体的气体流量为10sccm至2000sccm,腔室压强为30mTorr至1000mTorr。
9.如权利要求1所述的半导体结构的形成方法,其特征在于,在所述基底上形成介质层的步骤包括:在所述基底上形成介电层;
在所述介电层上形成氧化层;
在所述氧化层上形成图形化的硬掩膜层,所述器件区域的硬掩膜层内具有第一掩膜开口,所述外围区域的硬掩膜层内具有第二掩膜开口;
刻蚀所述第二凹槽底部和侧壁的介质层以及第一凹槽底部的介质层的步骤中,以所述图形化的硬掩膜层为掩膜进行刻蚀。
10.如权利要求9所述的半导体结构的形成方法,其特征在于,形成所述第一凹槽的步骤包括:在所述器件区域的介质层上形成第一图形层,所述第一图形层覆盖所述器件区域的硬掩膜层和氧化层;
以所述第一图形层和硬掩膜层为掩膜,沿所述第二掩膜开口依次刻蚀所述氧化层和部分厚度的介电层,在所述外围区域的介质层内形成第一凹槽。
11.如权利要求10所述的半导体结构的形成方法,其特征在于,形成所述第一图形层后,所述第二掩膜开口环绕所述第一图形层。
12.如权利要求10所述的半导体结构的形成方法,其特征在于,所述第一图形层的材料为光刻胶,形成所述第一图形层的步骤包括:采用Arf光刻工艺或Immersion光刻工艺形成所述第一图形层。
13.如权利要求10所述的半导体结构的形成方法,其特征在于,在形成所述第一凹槽的刻蚀过程中,原位去除所述第一图形层。
14.如权利要求10所述的半导体结构的形成方法,其特征在于,刻蚀形成所述第一凹槽的工艺为等离子干法刻蚀工艺。
15.如权利要求14所述的半导体结构的形成方法,其特征在于,所述等离子干法刻蚀工艺的工艺参数包括:刻蚀气体为CF4、CHF3、CH2F2或C4F8,稀释气体为N2、O2、CO、He或Ar,所述刻蚀气体的气体流量为10sccm至2000sccm,所述稀释气体的气体流量为10sccm至2000sccm,腔室压强为30mTorr至1000mTorr。
16.如权利要求10所述的半导体结构的形成方法,其特征在于,形成所述第二凹槽的步骤包括:形成填充满所述第一掩膜开口和第一凹槽的填充层,所述填充层还覆盖所述硬掩膜层顶部;
在所述填充层上形成底部抗反射层;
在所述底部抗反射层上形成第二图形层,所述第二图形层内具有露出部分所述器件区域底部抗反射层的第二凹槽图形开口,所述第二凹槽图形开口在所述基底上的投影位于所述底层金属层在所述基底上的投影内;
以所述第二图形层为掩膜,沿所述第二凹槽图形开口依次刻蚀所述底部抗反射层、填充层、氧化层和部分厚度的介电层,在所述器件区域的介质层内形成第二凹槽。
17.如权利要求9所述的半导体结构的形成方法,其特征在于,形成所述第二凹槽的步骤包括:在所述第一掩膜开口和第二掩膜开口内填充第三图形层,所述第三图形层还覆盖所述硬掩膜层顶部;其中,所述第三图形层内具有露出部分所述器件区域氧化层的第二凹槽图形开口,所述第二凹槽图形开口在所述基底上的投影位于所述底层金属层在所述基底上的投影内;
以所述第三图形层为掩膜,沿所述第二凹槽图形开口依次刻蚀所述氧化层和部分厚度的介电层,在所述器件区域的介质层内形成第二凹槽。
18.如权利要求17所述的半导体结构的形成方法,其特征在于,形成所述第一凹槽的步骤包括:形成填充满所述第二凹槽和第一掩膜开口的填充层,所述填充层还覆盖所述器件区域的硬掩膜层顶部;
在所述填充层上形成底部抗反射层;
在所述底部抗反射层上形成第四图形层;
以所述第四图形层和硬掩膜层为掩膜,沿所述第二掩膜开口依次刻蚀所述氧化层和部分厚度的介电层,在所述外围区域的介质层内形成第一凹槽。
19.如权利要求1所述的半导体结构的形成方法,其特征在于,形成所述第一开口和第二开口后,向所述第一开口和第二开口内填充导电材料之前,所述形成方法还包括:在所述第一开口和第二开口侧壁、所述第一开口和第二开口底部形成籽晶层。
CN201610664696.7A 2016-08-12 2016-08-12 半导体结构的形成方法 Active CN107731739B (zh)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN201610664696.7A CN107731739B (zh) 2016-08-12 2016-08-12 半导体结构的形成方法
EP17185664.4A EP3288066A1 (en) 2016-08-12 2017-08-10 Semiconductor structure and fabrication method thereof
US15/673,628 US10347578B2 (en) 2016-08-12 2017-08-10 Semiconductor structure and fabrication method thereof
US16/422,655 US10522463B2 (en) 2016-08-12 2019-05-24 Semiconductor structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201610664696.7A CN107731739B (zh) 2016-08-12 2016-08-12 半导体结构的形成方法

Publications (2)

Publication Number Publication Date
CN107731739A true CN107731739A (zh) 2018-02-23
CN107731739B CN107731739B (zh) 2020-03-10

Family

ID=59592880

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610664696.7A Active CN107731739B (zh) 2016-08-12 2016-08-12 半导体结构的形成方法

Country Status (3)

Country Link
US (2) US10347578B2 (zh)
EP (1) EP3288066A1 (zh)
CN (1) CN107731739B (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109755142A (zh) * 2019-01-02 2019-05-14 长江存储科技有限责任公司 键合结构及其形成方法
CN110223956A (zh) * 2019-05-10 2019-09-10 长江存储科技有限责任公司 多孔结构及其制作方法
CN110752184A (zh) * 2019-11-28 2020-02-04 长江存储科技有限责任公司 半导体器件的制作方法
CN111403345A (zh) * 2020-03-13 2020-07-10 长江存储科技有限责任公司 隔离保护环、半导体结构及其制备方法
CN112885774A (zh) * 2019-11-29 2021-06-01 长鑫存储技术有限公司 高深宽比接触孔的形成方法
CN113113302A (zh) * 2021-03-11 2021-07-13 武汉光迅科技股份有限公司 刻蚀方法及刻蚀设备
CN113113302B (zh) * 2021-03-11 2024-05-03 武汉光迅科技股份有限公司 刻蚀方法及刻蚀设备

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107731739B (zh) * 2016-08-12 2020-03-10 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US11094655B2 (en) * 2019-06-13 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11114299B2 (en) * 2019-07-05 2021-09-07 Applied Materials, Inc. Techniques for reducing tip to tip shorting and critical dimension variation during nanoscale patterning
CN117545275A (zh) * 2024-01-08 2024-02-09 长鑫新桥存储技术有限公司 半导体结构的制作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070004214A1 (en) * 2005-06-30 2007-01-04 Matthias Schaller Technique for reducing etch damage during the formation of vias and trenches in interlayer dielectrics
CN101593691A (zh) * 2008-05-26 2009-12-02 中芯国际集成电路制造(北京)有限公司 沟槽的刻蚀方法
US20110104867A1 (en) * 2009-10-30 2011-05-05 Frank Feustel Fabricating vias of different size of a semiconductor device by splitting the via patterning process
US20150255330A1 (en) * 2014-03-04 2015-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier-seed tool for fine-pitched metal interconnects

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100512904B1 (ko) * 1999-12-24 2005-09-07 주식회사 하이닉스반도체 반도체소자의 제조방법
JP2006253645A (ja) * 2005-02-14 2006-09-21 Sony Corp 半導体装置の製造方法および半導体装置
US7071099B1 (en) * 2005-05-19 2006-07-04 International Business Machines Corporation Forming of local and global wiring for semiconductor product
US8735295B2 (en) * 2012-06-19 2014-05-27 United Microelectronics Corp. Method of manufacturing dual damascene structure
CN107731739B (zh) * 2016-08-12 2020-03-10 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070004214A1 (en) * 2005-06-30 2007-01-04 Matthias Schaller Technique for reducing etch damage during the formation of vias and trenches in interlayer dielectrics
CN101593691A (zh) * 2008-05-26 2009-12-02 中芯国际集成电路制造(北京)有限公司 沟槽的刻蚀方法
US20110104867A1 (en) * 2009-10-30 2011-05-05 Frank Feustel Fabricating vias of different size of a semiconductor device by splitting the via patterning process
US20150255330A1 (en) * 2014-03-04 2015-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier-seed tool for fine-pitched metal interconnects

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109755142A (zh) * 2019-01-02 2019-05-14 长江存储科技有限责任公司 键合结构及其形成方法
CN109755142B (zh) * 2019-01-02 2021-02-23 长江存储科技有限责任公司 键合结构及其形成方法
CN110223956A (zh) * 2019-05-10 2019-09-10 长江存储科技有限责任公司 多孔结构及其制作方法
CN110752184A (zh) * 2019-11-28 2020-02-04 长江存储科技有限责任公司 半导体器件的制作方法
CN112885774A (zh) * 2019-11-29 2021-06-01 长鑫存储技术有限公司 高深宽比接触孔的形成方法
CN111403345A (zh) * 2020-03-13 2020-07-10 长江存储科技有限责任公司 隔离保护环、半导体结构及其制备方法
CN113113302A (zh) * 2021-03-11 2021-07-13 武汉光迅科技股份有限公司 刻蚀方法及刻蚀设备
CN113113302B (zh) * 2021-03-11 2024-05-03 武汉光迅科技股份有限公司 刻蚀方法及刻蚀设备

Also Published As

Publication number Publication date
US10347578B2 (en) 2019-07-09
US20180047665A1 (en) 2018-02-15
EP3288066A1 (en) 2018-02-28
US20190279928A1 (en) 2019-09-12
CN107731739B (zh) 2020-03-10
US10522463B2 (en) 2019-12-31

Similar Documents

Publication Publication Date Title
CN107731739A (zh) 半导体结构的形成方法
US11854962B2 (en) Via structure and methods thereof
KR100421055B1 (ko) 반도체 소자의 금속배선 형성방법
KR100386622B1 (ko) 듀얼 다마신 배선 형성방법
CN108074861A (zh) 半导体结构及其制造方法
CN105789111B (zh) 半导体结构的形成方法
CN104347488B (zh) 互连结构的形成方法
US9892921B2 (en) Interconnect structure and method for forming the same
CN106684031A (zh) 半导体结构的制造方法
CN109309042A (zh) 半导体器件及其形成方法
CN106356330B (zh) 半导体结构的形成方法
CN104112702B (zh) 在半导体制造中降低超低k介电层损伤的方法
US11615983B2 (en) Semiconductor structure and method for forming the same
US7015149B2 (en) Simplified dual damascene process
US10879108B2 (en) Topographic planarization method for lithography process
CN105742183B (zh) 半导体结构的形成方法
US7112537B2 (en) Method of fabricating interconnection structure of semiconductor device
CN105742229B (zh) 半导体结构的形成方法
US7326632B2 (en) Method for fabricating metal wirings of semiconductor device
CN106952862A (zh) 半导体器件的形成方法
CN105742230B (zh) 半导体结构的形成方法
CN108573912A (zh) 半导体结构及其形成方法
TW202238761A (zh) 半導體元件的形成方法
CN104183540B (zh) 一种半导体器件的制造方法
CN117832188A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant