CN106663696A - 使用具有表面终止物的纳米线形成的缩放的tfet晶体管 - Google Patents

使用具有表面终止物的纳米线形成的缩放的tfet晶体管 Download PDF

Info

Publication number
CN106663696A
CN106663696A CN201480081390.8A CN201480081390A CN106663696A CN 106663696 A CN106663696 A CN 106663696A CN 201480081390 A CN201480081390 A CN 201480081390A CN 106663696 A CN106663696 A CN 106663696A
Authority
CN
China
Prior art keywords
tfet
nano wire
termination material
region
termination
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480081390.8A
Other languages
English (en)
Other versions
CN106663696B (zh
Inventor
U·E·阿维奇
R·里奥斯
K·J·库恩
I·A·扬
J·R·韦伯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN106663696A publication Critical patent/CN106663696A/zh
Application granted granted Critical
Publication of CN106663696B publication Critical patent/CN106663696B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/068Nanowires or nanotubes comprising a junction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)

Abstract

描述了一种TFET,包括:纳米线,具有用于形成源极区域和漏极区域的掺杂区域和用于耦合到栅极区域的未掺杂区域;以及在纳米线之上形成的第一终止材料;以及在纳米线的一部分之上形成的第二终止材料,所述第二终止材料与栅极区域和源极区域重叠。描述了另一种TFET,包括:纳米线的第一部分,具有用于形成源极区域和漏极区域的掺杂区域和用于耦合到栅极区域的未掺杂区域;纳米线的第二部分,所述纳米线的第二部分正交于所述第一部分延伸,所述第二部分被形成为邻近栅极区域和源极区域;以及在所述纳米线的所述第一部分和所述第二部分之上形成的终止材料。

Description

使用具有表面终止物的纳米线形成的缩放的TFET晶体管
背景技术
在过去几十年中,对集成电路(IC)中的特征进行缩放一直是日益增长的半导体产业背后的驱动力。缩放到越来越小的特征能够增大在半导体芯片的有限基板面上的功能单元的密度。例如,缩小晶体管尺寸允许在芯片上并入增大数量的存储器器件,从而使得制造出的产品具有增大的处理容量。然而,对越来越多的处理容量的驱动并非没有问题。优化每个器件的性能和能耗的必要性变得越来越重要。
在IC器件的制造中,随着器件尺寸持续缩小,诸如三栅极晶体管等多栅极晶体管已经变得更为普遍。已经尝试了许多不同的技术来降低晶体管的泄漏。然而,在泄漏抑制的领域中仍然需要显著的进步。
随着IC中的晶体管的尺寸持续减小,晶体管的电源电压也必须减小。随着电源电压减小,IC中的晶体管的阈值电压也必须减小。在常规金属氧化物半导体场效应晶体管(MOSFET)中难以获得较低的阈值电压,这是因为当阈值电压降低时,导通电流与关断电流之比(Ion/Ioff)也会减小。导通电流指的是当施加的栅极电压高于阈值电压并且可以高到等于电源电压时通过MOSFET的电流,而关断电流指的是当施加的栅极电压低于阈值电压并且等于零伏时通过MOSFET的电流。
隧穿场效应晶体管(TFET)是前景很好的器件,这是因为它们由于更陡的亚阈值斜率(即,更小的亚阈值摆幅)而保证了显著的性能提高和能耗减小。与相同技术节点处的Si-MOSFET相比,当前的TFET器件承受了较低的电流。
附图说明
通过以下给出的具体实施方式和本发明的各个实施例的附图,将更加充分地理解本发明的实施例,然而,附图不应当被理解为将本发明限制为特定的实施例,相反附图仅仅用于解释和理解。
图1A示出了一曲线图,该曲线图显示了MOSFET和TFET的Id-Vg曲线。
图1B示出了TFET的能带图。
图2A示出了一曲线图,该曲线图显示了用于lnm Sn线的各种终止材料的能级。
图2B示出了一曲线图,该曲线图显示了根据一些实施例的、各种终止材料的作为线尺寸的函数的带隙能量。
图2C-图2F示出了曲线图,该曲线图显示了根据一些实施例的、各种终止材料的作为线尺寸的函数的传导能量和价电能量。
图3A示出了根据本发明的一些实施例的采用水平工艺的TFET器件,其中使用具有不同表面终止物(surface termination)的金属纳米线来形成该TFET器件。
图3B是根据本发明的一些实施例的图3A的TFET的能带图。
图4A-图4D示出了根据本发明的一些实施例的采用水平工艺制造TFET器件的过程,其中使用具有不同表面终止物的金属纳米线来形成该TFET器件。
图5示出了根据本发明的一些实施例的采用垂直工艺的TFET器件,其中使用具有不同表面终止物的金属纳米线来形成该TFET器件。
图6示出了根据本发明的一些实施例的在源极-沟道结中使用具有表面终止物和较粗金属线的金属纳米线所形成的TFET器件。
图7是使用根据本发明的一些实施例的TFET的智能设备或计算机系统或SoC(片上系统)。
具体实施方式
图1A示出了曲线图100,该曲线图100显示了MOSFET和TFET的Id-Vg曲线。在这里,曲线图100包括栅极电压值的x轴和漏极电流值的y轴(被归一化到沟道宽度)。曲线102表示MOSFET的电压-电流特性,而曲线104表示TFET的电压-电流特性。
在常规的MOSFET中难以获得较低的阈值电压,这是因为当阈值电压降低时,导通电流与关断电流之比(Ion/Ioff)也减小。如本文所提及的,Ion指的是当施加的栅极电压高于阈值电压并且可以高到等于电源电压时通过晶体管的电流,而Ioff指的是当施加的栅极电压低于阈值电压并且等于零伏时通过晶体管的电流。
MOSFET的亚阈值斜率(SS)或者亚阈值摆幅(即,电流从Ioff增大到Ion的速率,并且被定义为SS=[1e3/[dlog10(I)/dVg]]-1)在室温下具有60mV/dec的理论极限,这意味着在保持高Ion/Ioff比的同时不能显著地降低电源电压。SS是将电流改变十倍所需的mV的数量。任何目标Ioff值都由晶体管的待机功率要求来确定;例如,具有零理论亚阈值摆幅的晶体管将能够在非常低的施加电压下工作,从而产生低待机功率。Ioff值对于低功率待机应用(例如,移动计算设备)而言是重要参数。
此外,对于低有功功率应用而言,由于有功功率对电源电压的强依赖性,在较低的电源电压下工作是有利的;然而,由于MOSFET亚阈值斜率极限为60mV/dec,当这些晶体管在低电源电压下工作时,Ion明显较低,这是因为它可以在接近阈值电压下工作。在这里,曲线102被显示为具有相对低的电流增大的电流速率,其中,需要大约0.5V来切换到Ion。与MOSFET相比,TFET可以实现更急剧的导通特性(即,更陡的斜率)和改进的Ion/Ioff比。
图1B示出了TFET的能带图。在这里,x轴是从TFET的源极区域到TFET的漏极区域的距离“x”,而y轴是导带和价带的以电子伏特(eV)为单位的能量E。曲线121是导带,而曲线122是价带。
在关断状态下,在n-TFET的源极和沟道之间具有宽的势垒,并且作为结果,不会发生隧穿。在这里,当TFET的栅极耦合到低电源(例如,地)时,关断状态发生了。当栅极电压增大并且超过阈值电压时,n-TFET的源极和沟道之间的势垒变得足够窄,从而允许大的隧穿电流(即,切换到导通状态)。
在一些实施例中,当体尺寸减小并且落在1nm范围内时,使用低带隙(或无带隙)材料成为有吸引力的替代方案,该低带隙(或无带隙)材料形成非常小尺寸的带隙。一些实施例描述了使用金属实现高性能TFET器件的物理机制。随着体尺寸缩小到约1nm,半金属、硅化物和合金形成带隙并且表现得像半导体。
根据一些实施例,可以通过选择这些纳米线材料的厚度来调节带隙能量。在非常薄的体尺寸下,能带结构和电气性能强烈依赖于界面结合终止物(interface bondtermination)。在一些实施例中,通过改变沿着器件的表面终止物和体厚度,形成了展示出期望的能带特性的异质结TFET器件。
各个实施例都具有若干优点。例如,半金属本质上适合于在对高度缩放的一代晶体管而言是有用的(或所需要的)尺寸(由于它们的限制)下提供恰当量的带隙。相反,半导体可以具有与高有效质量和低状态密度相关联的过高带隙。一些实施例的另一优点是,沿着器件的终止化学物质(termination chemistry)和体尺寸(沿着器件)可以用于仅仅一种类型的沟道材料以产生期望的能带结构。相反,半导体TFET可能要求高品质的异质结(例如,两种不同的材料)生长,以提供这些特性。
在下面的描述中,探讨了大量细节,以提供对本发明实施例的更透彻的解释。然而,对本领域技术人员显而易见的是,可以在没有这些特定细节的情况下实施本发明的实施例。在其它实例中,以方框图的形式而不是以细节的形式示出公知的结构和设备,以便避免使本发明的实施例难以理解。
注意,在实施例的对应附图中,用线来表示信号。一些线可以较粗,以指示更多构成的信号路径,和/或一些线的一个或多个末端可以具有箭头,以指示主要信息流向。这些指示并不是想要进行限制。相反,结合一个或多个示例性实施例使用这些线有助于更容易地理解电路或逻辑单元。任何所表示的信号(如由设计需求或偏好所决定的)实际上可以包括一个或多个信号,该一个或多个信号可以在任意一个方向传送,并且可以是以任何适当类型的信号方案实现的。
贯穿整个说明书,并且在权利要求书中,术语“连接”表示在没有任何中间设备的情况下所连接的物体之间的直接电气连接。术语“耦合”表示所连接的物体之间的直接电气连接或者通过一个或多个无源或有源的中间设备的间接连接。术语“电路”表示被布置为彼此配合以提供所期望功能的一个或多个无源和/或有源部件。术语“信号”表示至少一个电流信号、电压信号或数据/时钟信号。“一个”、“一种”及“所述”的含义包括复数个引用物。“在……中”的含义包括“在……内”和“在……上”。
术语“缩放”通常指的是将设计(原理图及布局)从一种工艺技术转换到另一种工艺技术,并且随后减小布局面积,降低寄生电容和提高性能。术语“缩放”通常也指的是在同一个工艺节点内将布局和设备的尺寸缩小。术语“缩放”还可以指的是将信号频率相对于另一个参数(例如,电源电平)进行调整(例如,减慢或者加快,分别即缩小或者放大)。术语“基本上”、“接近”、“约”、“附近”、“大约”通常指的是在目标值的+/-20%以内。
除非另外规定,否则使用序数形容词“第一”、“第二”及“第三”等来描述共同的对象,这仅指示同样对象的不同实例被引用,而并不是要暗示这样描述的对象必须采用给定的顺序,无论是时间地、空间地、排序地或任何其它方式。
图2A示出了曲线图200,该曲线图200显示了用于薄的半金属、合金或者硅化物线(例如,具有约1nm直径的Sn纳米线)的各种终止材料的能级。在这里,y轴是以eV为单位的能量,而x轴是具有四种不同的终止化学物质(例如CH3、H、OH和F)的金属的厚度。y轴上的“0”级是自由电子能级。自每一种终止材料的“0”能级的箭头指示使电子自由所需要的能量(即,功函数或电子亲和力)。例如,取决于金属的厚度,电子需要2eV到约4eV的能量以使其自身从CH3终止的Sn线上释放;电子需要2.5eV到约4.5eV的能量以使其自身从H终止的Sn线上释放。
图2B示出了曲线图220,该曲线图220显示了根据一些实施例的、四种不同的终止化学物质(例如,CH3、H、OH和F)的作为线尺寸(即,厚度)的函数的带隙能量。在这里,x轴是以nm为单位的线尺寸(即,厚度),而y轴是以eV为单位的带隙能量。随着线厚度增大,带隙能量降低。
图2C-图2F示出了曲线图230、240、250和260,该曲线图230、240、250和260显示了根据一些实施例的、各种终止材料的作为线尺寸的函数的传导能量和价电能量。在这里,x轴是以nm为单位的线尺寸(即,厚度),而y轴是以eV为单位的带隙能量。每个曲线图都包括两个波形,一个波形表示当使用终止材料(即,CH3、F、H和OH)之一时的Sn材料的传导能带(Ec),而另一个波形表示当使用终止材料(即,CH3、F、H和OH)之一时的Sn材料的价电能带(Ev)。
在这些示例中,当使用CH3作为Sn之上的终止材料时,Sn的带隙为1.308eV;当使用H作为Sn之上的终止材料时,Sn的带隙为1.806eV;当使用OH作为Sn之上的终止材料时,Sn的带隙为0.617eV;当使用F作为具有0.94nm厚度的Sn之上的终止材料时,Sn的带隙为0.472eV。
图3A示出了根据本发明的一些实施例的采用水平工艺的TFET器件300,其中使用具有不同表面终止物的金属纳米线来形成该TFET器件300。应当指出的是,图3A中的那些与任何其它附图中的元件具有相同的附图标记(或名称)的元件可以以与所描述的方式相类似的任何方式来操作或运行,但不限于此。
在一些实施例中,TFET器件300包括由区域301指示的纳米线,该纳米线是使用半金属(例如Sn、As、Bi、Sb、石墨等)、合金(例如TiN、TaN、TiAlC等)或者硅化物(例如NiSi、TiSi、CoSi等)形成的。通过参照区域301是由Sn形成的半金属来描述下面的实施例。然而,相同的描述也适用于其他材料。
在一些实施例中,对区域301进行掺杂,以使得一个区域(在这里,左边)被P+掺杂以形成源极区域,而另一个区域(在这里,右边)被N+掺杂以形成漏极区域。301的未掺杂区域(在这里,主要在源极区域和漏极区域之间的中部内)形成了沟道。在非掺杂区域之上形成(多个)栅极端子。在一些情况下,用于形成纳米线区域301的半金属、合金或硅化物可能并不容易被P+和N+掺杂。在一些实施例中,代替Sn纳米线,可以将半导体材料用于源极区域和漏极区域,以实现P/N材料。在一些实施例中,Sn纳米线被用于未掺杂区域301。
在一些实施例中,在区域301之上使用两种不同的终止材料。第一终止材料是302,而第二终止材料是303。在一些实施例中,除了如图所示的在源极区域和栅极区域之间的短段之外,第一终止材料302基本上覆盖整个区域301。在一些实施例中,使用第二终止材料303来对该短段进行终止。在一些实施例中,第二终止材料303与栅极区域和源极区域重叠。在一些实施例中,可以通过使用不同的表面终止物来修改材料的带隙和功函数(即,电子亲和力)二者。在一些实施例中,第一终止材料(302)和第二终止材料(303)是CH3、H、OH和F,以使得第一终止材料和第二终止材料具有不同的类型。
在该示例性实施例中,第一终止材料302是H,而第二终止材料303是OH。在这些终止材料的情况下,在一些实施例中,区域301(在Sn纳米线下方)中的Sn的带隙为1.806eV,电子亲和力为3.575eV;并且区域301(在Sn纳米线下方)中的Sn的带隙缩小到0.617eV,电子亲和力为5.422eV。
根据一些实施例,使用该特性变化来制造断开式或交错式带隙TFET(即,异质结TFET)。在一些实施例中,通过在区域303处使用不同的终止化学物质,可以实现理想TFET的期望带结构,甚至在这些薄的体尺寸下。
同质结半导体TFET指的是这样的TFET,其不具有耦合到源极区域的袋状区域并且沿着器件自始至终具有相同的材料(例如,沿着器件自始至终是GeSn材料)。然而,同质结半导体TFET的驱动电流ID输出小于n型异质结半导体TFET的驱动电流ID输出。异质结半导体TFET是具有耦合到源极区域的袋状物的TFET。也可以通过改变在源极处的半导体材料来产生异质结。在一些实施例中,使用不同厚度的纳米线和一种类型的终止层(例如,图6)或者使用均匀纳米线和至少两种终止层(如图3所示)来形成高性能的缩放异质结TFET。尽管参考n型TFET描述了实施例,但是根据一些实施例,可以以类似的方式但使用不同的材料组合来构造p型TFET。
图3B是根据本发明的一些实施例的图3A的TFET 300的能带图320。应当指出的是,图3B中的那些与任何其它附图中的元件具有相同的附图标记(或名称)的元件可以以与所描述的方式相类似的任何方式来操作或运行,但不限于此。
在这里,x轴是从TFET 300的源极区域到TFET 300的漏极区域的距离“x”,而y轴是导带和价带的以eV为单位的能量。在这里,能量刻度上的数字指示相对于真空能级的距离。曲线321是导带,而曲线322是价带。将终止材料用于Sn纳米线显示了以断开式带隙TFET(即,像异质结TFET那样)的特性进行工作的TFET。
图4A-图4D示出了根据本发明的一些实施例的采用水平工艺制造TFET器件(例如器件300)的过程400、420、430和440,其中使用具有不同表面终止物的金属纳米线来形成该TFET器件。应当指出的是,图4A-图4D中的那些与任何其它附图中的元件具有相同的附图标记(或名称)的元件可以以与所描述的方式相类似的任何方式来操作或运行,但不限于此。
图4A示出了直径为1nm的Sn纳米线。在一些实施例中,使用标准光刻工艺对Sn纳米线301的一部分进行P+掺杂以形成源极区域。在一些实施例中,使用标准光刻工艺对Sn纳米线301的一部分进行N+掺杂以形成漏极区域。在一些实施例中,Sn纳米线301在源极区域和漏极区域之间保持不被掺杂以形成沟道。在一些实施例中,代替Sn纳米线,可以将半导体材料用于源极区域和漏极区域,以实现P/N材料。
图4B示出了对于所有界面首先仅仅利用OH 303(即,第二终止材料)对Sn纳米线进行终止。图4C示出了然后将间隔体431设置于源极区域与未掺杂区域之间,并且从除了间隔体下方的界面之外的所有界面刻蚀掉OH终止材料的Sn纳米线。在一些实施例中,使用仅H材料(H only material)302来终止被刻蚀的表面。在移除间隔体431之后,除了先前在间隔体431下方的区域之外,Sn纳米线的所有界面都是使用仅H材料302终止的。图4D示出了具有在未掺杂区域之上形成的栅极端子的Sn纳米线。
在一些实施例中,对参考图4B-图4D所描述的过程进行修改,以使得最初使用第一终止材料(例如,仅H材料)来终止整个Sn纳米线。然后,在Sn纳米线的未掺杂区域之上形成栅极端子。然后,完成或形成第二终止物。然后,添加与栅极自对准的间隔体431,并且再次处理最初的终止物。这将提供与图4D所示的终止物相同的终止物。
图5示出了根据本发明的一些实施例的采用垂直工艺的TFET器件500,其中使用具有不同表面终止物的金属纳米线来形成该TFET器件500。应当指出的是,图5中的那些与任何其它附图中的元件具有相同的附图标记(或名称)的元件可以以与所描述的方式相类似的任何方式来操作或运行,但不限于此。描述了图3和图5之间的不同之处,从而不会使图5的实施例变得难以理解。
在这里,代替参考图4A-图4D描述的用于图3的水平工艺,在一些实施例中,使用垂直工艺来制造TFET器件,其中使用具有不同表面终止物的金属纳米线来形成该TFET器件。另外,TFET 500的操作和性能基本上与TFET 300的操作和性能相同。
图6示出了根据本发明的一些实施例的TFET器件600,其中在源极-沟道结中使用具有表面终止物和较粗金属线的金属纳米线来形成该TFET器件600。应当指出的是,图6中的那些与任何其它附图中的元件具有相同的附图标记(或名称)的元件可以以与所描述的方式相类似的任何方式来操作或运行,但不限于此。
在一些实施例中,可以通过改变沿着器件的器件尺寸来实现TFET器件的期望的能带结构。在一些实施例中,Sn纳米线301被制造得在源极-沟道结(例如,区域601)附近比沟道区域(例如,301)厚。纳米线厚度的这种变化形成了断开式带隙TFET器件,其表现得像异质结TFET。在一些实施例中,将Sn纳米线的厚度和终止材料302的类型进行组合,以实现期望的TFET能带结构。在一些实施例中,通过在源极区域和栅极区域附近生长Sn来形成Sn纳米线的较厚部分。在这里,纳米线的较厚部分601正交于该纳米线的另一部分301。
在一些实施例中,可以以类似于参考图4描述的过程来对器件600进行处理。在一些实施例中,在图4B的过程之后,在源极区域之上生长或沉积厚的Sn。然后,类似于参考图4C所描述的那样,添加间隔体,并且然后对源极区域以外的区域进行刻蚀以获得靠近源极端的薄纳米线。
图7是使用根据本发明的一些实施例的TFET器件的智能设备或计算机系统或SoC(片上系统)。应当指出的是,图7中的那些与任何其它附图中的元件具有相同的附图标记(或名称)的元件可以以与所描述的方式相类似的任何方式来操作或运行,但不限于此。
图7示出了移动设备的实施例的方框图,在该移动设备中可以使用平面接口连接器。在一个实施例中,计算设备1600表示移动计算设备,例如计算平板电脑、移动电话或智能手机、有无线功能的电子阅读器或者其它无线移动设备。将会理解的是,一般化地示出了特定部件,而非在计算设备1600中示出了这种设备的所有部件。
在一些实施例中,计算设备1600包括具有根据所讨论的实施例的TFET设备的第一处理器1610。计算设备1600的其它模块也可以包括实施例的TFET设备。本发明的各个实施例也可以包括1670内的网络接口(例如无线接口),以使得系统实施例可以被并入无线设备(例如,手机或个人数字助理)中。
在一些实施例中,处理器1610(和/或处理器1690)可以包括一个或者多个物理设备,例如微处理器、应用处理器、微控制器、可编程逻辑设备或其它处理模块。由处理器1610执行的处理操作包括执行操作平台或操作系统,在该操作平台或操作系统上执行应用和/或设备功能。处理操作包括与由人类用户或其它设备进行的I/O(输入/输出)相关的操作、与功率管理相关的操作、和/或与将计算设备1600连接到另一个设备相关的操作。处理操作也可以包括与音频I/O和/或显示I/O相关的操作。
在一些实施例中,计算设备1600包括音频子系统1620,该音频子系统1620表示与向计算设备提供音频功能相关联的硬件(例如,音频硬件和音频电路)部件和软件(例如,驱动器、编解码器)部件。音频功能可以包括扬声器和/或头戴式耳机输出、以及麦克风输入。用于这些功能的设备可以被集成到计算设备1600中,或者连接到计算设备1600。在一个实施例中,用户通过提供由处理器1610接收并且处理的音频命令来与计算设备1600进行交互。
显示子系统1630表示硬件(例如,显示设备)部件和软件(例如,驱动器)部件,该硬件部件和软件部件为用户提供视觉显示和/或触觉显示来与计算设备1600进行交互。显示子系统1630包括显示接口1632,该显示接口1632包括用于向用户提供显示的特定屏幕或硬件设备。在一个实施例中,显示接口1632包括与处理器1610分开的逻辑单元以执行与显示相关的至少一些处理。在一个实施例中,显示子系统1630包括向用户提供输出和输入两者的触摸屏(或触摸板)设备。
I/O控制器1640表示和与用户进行交互相关的硬件设备和软件部件。I/O控制器1640是可操作的以管理作为音频子系统1620和/或显示子系统1630的一部分的硬件。另外,I/O控制器1640示出了用于连接到计算设备1600的附加设备的连接点,用户可以通过该连接点来与系统进行交互。例如,能够附接到计算设备1600的设备可以包括麦克风设备、扬声器或立体声系统、视频系统或其它显示设备、键盘或按键设备、或用于特定应用的其它I/O设备(例如,读卡器)或者其它设备。
如上所述,I/O控制器1640可以与音频子系统1620和/或显示子系统1630进行交互。例如,通过麦克风或者其它音频设备的输入可以为计算设备1600的一个或多个应用或功能提供输入或命令。另外,可以提供音频输出来代替显示输出,或者除了显示输出以外,还提供音频输出。在另一个示例中,如果显示子系统1630包括触摸屏,则显示设备也用作可至少部分由I/O控制器1640管理的输入设备。计算设备1600上也可以存在附加按钮或者开关以提供由I/O控制器1640管理的I/O功能。
在一个实施例中,I/O控制器1640管理诸如加速度计、照相机、光传感器或者其它环境传感器等设备,或者管理可以包含在计算设备1600中的其它硬件。该输入可以是直接用户交互的一部分,并且向系统提供环境输入以影响系统的操作(例如针对噪声来进行滤波、针对亮度检测来调整显示器、针对照相机来应用闪光灯、或其它特征)也是直接用户交互的一部分。
在一个实施例中,计算设备1600包括功率管理部1650,该功率管理部1650管理电池电力使用、电池的充电和与节电操作相关的特征。存储器子系统1660包括用于存储计算设备1600中的信息的存储器设备。存储器可以包括非易失性(如果中断对存储器设备的供电,则状态不改变)存储器设备和/或易失性(如果中断对存储器设备的供电,则状态是不确定的)存储器设备。存储器子系统1660可以存储应用数据、用户数据、音乐、照片、文档或者其它数据、以及与计算设备1600的应用和功能的执行相关的系统数据(无论长期的还是暂时的)。
实施例的元件也可以被提供作为用于存储计算机可执行指令(例如,用于实施本文中讨论的任何其它过程的指令)的机器可读介质(例如存储器1660)。机器可读介质(例如,存储器1660)可以包括但不限于闪存、光盘、CD-ROM、DVD ROM、RAM、EPROM、EEPROM、磁卡或光卡、相变存储器(PCM)、或者适于存储电子或计算机可执行指令的其它类型的机器可读介质。例如,本发明的实施例可以作为计算机程序(例如,BIOS)而被下载,该计算机程序可以经由通信链路(例如,调制解调器或网络连接)、以数据信号的方式从远程计算机(例如,服务器)传送到请求计算机(例如,客户端)。
连接1670包括使计算设备1600能够与外部设备进行通信的硬件设备(例如,无线和/或有线连接器和通信硬件)和软件部件(例如,驱动器、协议栈)。计算设备1600可以是单独的设备,例如其它计算设备、无线接入点或基站,也可以是外围设备,例如头戴式耳机、打印机,或者其它设备。
连接1670可以包括多种不同类型的连接。概括来说,计算设备1600被示出为采用蜂窝式连接1672和无线连接1674。蜂窝式连接1672通常指的是由无线载波提供的蜂窝网络连接,例如通过GSM(全球移动通信系统)或其变型或其衍生物、CDMA(码分多址)或其变型或其衍生物、TDM(时分复用)或其变型或其衍生物、或其它蜂窝服务标准所提供的蜂窝网络连接。无线连接(或无线接口)1674指的是非蜂窝式无线连接,并且可以包括个人局域网(例如,蓝牙、近场等)、局域网(例如,Wi-Fi)、和/或广域网(例如,WiMax)、或者其它无线通信。
外围连接1680包括用于进行外围连接的硬件接口和连接器、以及软件部件(例如驱动器、协议栈)。将会理解的是,计算设备1600既可以是到其它计算设备的外围设备(“到”1682),也可以具有连接到它的外围设备(“从”1684)。出于诸如管理(例如,下载和/或上传、改变、同步)计算设备1600上的内容等目的,计算设备1600一般具有用于连接到其它计算设备的“对接(docking)”连接器。另外,对接连接器可以允许计算设备1600连接到特定的外围设备,该特定的外围设备允许计算设备1600对例如到影音系统或其他系统的内容输出进行控制。
除了专用对接连接器或者其他专用连接硬件以外,计算设备1600可以经由公共连接器或者基于标准的连接器进行外围连接1680。公共类型可以包括通用串行总线(USB)连接器(它可以包括任意数量的不同硬件接口)、包括MiniDisplayPort(MDP)的DisplayPort、高清晰度多媒体接口(HDMI)、火线)或者其他类型。
说明书中对“实施例”、“一个实施例”、“一些实施例”、或“其他实施例”的提及表示结合实施例描述的特定特征、结构或者特性包括在至少一些实施例中,但不必包括在全部实施例中。“实施例”、“一个实施例”、或“一些实施例”的多次出现并不一定全都指的是相同的实施例。如果说明书描述了部件、特征、结构或特性“可以”、“或许”或“可能”被包括,则该特定部件、特征、结构或特性不是必需要被包括的。如果说明书或者权利要求提及“一”或者“一个”元件,则并不表示仅有一个元件。如果说明书或者权利要求提及“附加”元件,则并不排除存在多于一个的该附加元件。
此外,特定特征、结构、功能或特性可以以任何适合的方式组合到一个或多个实施例中。例如,第一实施例可以与第二实施例组合,只要与这两个实施例相关联的特定特征、结构、功能或特性不互相排斥。
尽管已经结合了本发明的特定实施例对本发明进行了描述,但是依据前面的描述,这些实施例的很多替换、修改和变型对本领域普通技术人员来说将是显而易见的。例如,其它存储器架构(例如,动态RAM(DRAM))可以使用所讨论的实施例。本发明的实施例旨在涵盖落入所附权利要求的宽泛范围之内的所有这样的替换、修改和变型。
另外,为了简化说明和讨论,并且为了不使本发明变得难以理解,在所提供的附图中可以示出或者可以不示出与集成电路(IC)芯片和其它部件的公知的电源/接地连接。此外,可以以方框图的形式示出装置,以便避免使本发明变得难以理解,并且也鉴于以下事实,即关于这些方框图装置的实施方式的细节是高度取决于将要实施本发明的平台的(即,这些细节应当完全处于本领域技术人员的理解范围内)。在阐述了特定细节(例如,电路)以便描述本发明的示例性实施例的情况下,对本领域技术人员而言显而易见的是,可以在没有这些特定细节的情况下或者这些特定细节有变化的情况下实施本发明。因此,该描述应被认为是说明性的而不是限制性的。
下面的示例与进一步的实施例相关。示例中的细节可以用于一个或多个实施例中的任何地方。也可以针对方法或过程来实施本文所描述的装置的所有可选特征。
例如,提供了一种TFET,所述TFET包括:纳米线,具有用于形成源极区域和漏极区域的掺杂区域和用于耦合到栅极区域的未掺杂区域;以及在所述纳米线之上形成的第一终止材料;以及在所述纳米线的一部分之上形成的与所述栅极区域和所述源极区域重叠的第二终止材料。在一些实施例中,所述纳米线是以下之一:半金属;合金;或硅化物。在一些实施例中,所述半金属是以下之一:Sn、As、Bi、Sb或石墨。在一些实施例中,所述合金是以下之一:TiN、TaN或TiAIC。在一些实施例中,所述硅化物是以下之一:NiSi、TiSi或CoSi。在一些实施例中,所述第一终止材料和所述第二终止材料是不同的材料。在一些实施例中,所述第一终止材料和所述第二终止材料是使用CH3、H、OH或F之一形成的。
在另一示例中,提供了一种系统,所述系统包括:存储器;耦合到所述存储器的处理器,所述处理器具有根据上述TFET的TFET;以及用于允许所述处理器耦合到另一设备的无线接口。在一些实施例中,所述系统包括显示接口,所述显示接口用于允许显示单元显示由所述处理器处理的内容。
在另一个示例中,提供了一种TFET,所述TFET包括:纳米线的第一部分,所述第一部分具有用于形成源极区域和漏极区域的掺杂区域和用于耦合到栅极区域的未掺杂区域;所述纳米线的第二部分,所述第二部分正交于所述第一部分延伸,所述第二部分被形成为邻近所述栅极区域和所述源极区域;以及在所述纳米线的所述第一部分和所述第二部分之上形成的终止材料。在一些实施例中,所述纳米线的所述第一部分和所述第二部分是使用半金属、合金、或硅化物之一形成的。在一些实施例中,所述半金属是以下之一:Sn、As、Bi、Sb或石墨。在一些实施例中,所述合金是以下之一:TiN、TaN或TiAIC。在一些实施例中,所述硅化物是以下之一:NiSi、TiSi或CoSi。在一些实施例中,所述终止材料是使用CH3、H、OH或F之一形成的。
在另一示例中,提供了一种系统,所述系统包括:存储器;耦合到所述存储器的处理器,所述处理器具有根据上述TFET的TFET;以及用于允许所述处理器耦合到另一设备的无线接口。在一些实施例中,所述系统包括显示接口,所述显示接口用于允许显示单元显示由所述处理器处理的内容。
在另一示例中,提供了一种用于形成TFET器件的方法,所述方法包括:对纳米线进行掺杂以在所述纳米线中形成源极区域和漏极区域;在所述纳米线的未掺杂区域之上形成栅极区域;在所述纳米线之上沉积第一终止材料的第一界面层;以及在所述纳米线之上沉积第二终止材料的第二界面层,所述第二界面层与所述栅极区域和所述源极区域重叠。在一些实施例中,所述纳米线是以下之一:半金属;合金;或硅化物。在一些实施例中,所述半金属是以下之一:Sn、As、Bi、Sb或石墨。在一些实施例中,所述合金是以下之一:TiN、TaN或TiAIC。在一些实施例中,所述硅化物是以下之一:NiSi、TiSi或CoSi。在一些实施例中,所述第一终止材料和所述第二终止材料是不同的材料。在一些实施例中,所述第一终止材料和所述第二终止材料是使用CH3、H、OH或F之一形成的。
在另一示例中,一种用于形成TFET器件的装置,所述装置包括:用于对纳米线进行掺杂以在所述纳米线中形成源极区域和漏极区域的模块;用于在所述纳米线的未掺杂区域之上形成栅极区域的模块;用于在所述纳米线之上沉积第一终止材料的第一界面层的模块;以及用于在所述纳米线之上沉积第二终止材料的第二界面层的模块,所述第二界面层与所述栅极区域和所述源极区域重叠。在一些实施例中,所述纳米线是以下之一:半金属;合金;或硅化物。
在一些实施例中,所述半金属是以下之一:Sn、As、Bi、Sb或石墨。在一些实施例中,所述合金是以下之一:TiN、TaN或TiAIC。在一些实施例中,所述硅化物是以下之一:NiSi、TiSi或CoSi。在一些实施例中,所述第一终止材料和所述第二终止材料是不同的材料。在一些实施例中,所述第一终止材料和所述第二终止材料是使用CH3、H、OH或F之一形成的。
提供了摘要,该摘要将允许读者确定本技术公开内容的本质和要点。应当理解的是,所提交的摘要不是用于限制权利要求的范围或含义。在每个权利要求本身作为一个单独的实施例的情况下,下面的权利要求书由此被并入到具体实施方式部分中。

Claims (24)

1.一种TFET,包括:
纳米线,所述纳米线具有用于形成源极区域和漏极区域的掺杂区域和用于耦合到栅极区域的未掺杂区域;以及
第一终止材料,所述第一终止材料形成于所述纳米线之上;以及
第二终止材料,所述第二终止材料形成于所述纳米线的一部分之上,所述第二终止材料与所述栅极区域和所述源极区域重叠。
2.根据权利要求1所述的TFET,其中所述纳米线是以下之一:
半金属;
合金;或者
硅化物。
3.根据权利要求2所述的TFET,其中所述半金属是以下之一:Sn、As、Bi、Sb或者石墨。
4.根据权利要求2所述的TFET,其中所述合金是以下之一:TiN、TaN或者TiAIC。
5.根据权利要求2所述的TFET,其中所述硅化物是以下之一:NiSi、TiSi或者CoSi。
6.根据权利要求1所述的TFET,其中所述第一终止材料和所述第二终止材料是不同的材料。
7.根据权利要求1所述的TFET,其中所述第一终止材料和所述第二终止材料是使用CH3、H、OH或者F之一形成的。
8.一种TFET,包括:
纳米线的第一部分,所述纳米线的所述第一部分具有用于形成源极区域和漏极区域的掺杂区域和用于耦合到栅极区域的未掺杂区域;
所述纳米线的第二部分,所述纳米线的第二部分正交于所述第一部分延伸,所述第二部分被形成为邻近所述栅极区域和所述源极区域;以及
终止材料,所述终止材料形成于所述纳米线的所述第一部分和所述第二部分之上。
9.根据权利要求8所述的TFET,其中所述纳米线的所述第一部分和所述第二部分是使用半金属、合金或者硅化物之一形成的。
10.根据权利要求9所述的TFET,其中所述半金属是以下之一:Sn、As、Bi、Sb或者石墨。
11.根据权利要求9所述的TFET,其中所述合金是以下之一:TiN、TaN或者TiAIC。
12.根据权利要求9所述的TFET,其中所述硅化物是以下之一:NiSi、TiSi或者CoSi。
13.根据权利要求8所述的TFET,其中所述终止材料是使用CH3、H、OH或者F之一形成的。
14.一种用于形成TFET器件的方法,所述方法包括:
对纳米线进行掺杂以在所述纳米线中形成源极区域和漏极区域;
在所述纳米线的未掺杂区域之上形成栅极区域;
在所述纳米线之上沉积第一终止材料的第一界面层;以及
在所述纳米线之上沉积第二终止材料的第二界面层,所述第二界面层与所述栅极区域和所述源极区域重叠。
15.根据权利要求14所述的方法,其中所述纳米线是以下之一:
半金属;
合金;或者
硅化物。
16.根据权利要求15所述的方法,其中所述半金属是以下之一:Sn、As、Bi、Sb或者石墨。
17.根据权利要求15所述的方法,其中所述合金是以下之一:TiN、TaN或者TiAIC。
18.根据权利要求15所述的方法,其中所述硅化物是以下之一:NiSi、TiSi或者CoSi。
19.根据权利要求14所述的方法,其中所述第一终止材料和所述第二终止材料是不同的材料。
20.根据权利要求14所述的方法,其中所述第一终止材料和所述第二终止材料是使用CH3、H、OH或者F之一形成的。
21.一种系统,包括:
存储器;
处理器,所述处理器耦合到所述存储器,所述处理器具有根据TFET权利要求1至7中的任意一项所述的TFET;以及
无线接口,所述无线接口用于允许所述处理器耦合到另一设备。
22.根据权利要求21所述的系统,包括显示接口,所述显示接口用于允许显示单元显示由所述处理器处理的内容。
23.一种系统,包括:
存储器;
处理器,所述处理器耦合到所述存储器,所述处理器具有根据TFET权利要求8至13中的任意一项所述的TFET;以及
无线接口,所述无线接口用于允许所述处理器耦合到另一设备。
24.根据权利要求23所述的系统,包括显示接口,所述显示接口用于允许显示单元显示由所述处理器处理的内容。
CN201480081390.8A 2014-09-24 2014-09-24 使用具有表面终止物的纳米线形成的缩放的tfet晶体管 Active CN106663696B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2014/057258 WO2016048306A1 (en) 2014-09-24 2014-09-24 Scaled tfet transistor formed using nanowire with surface termination

Publications (2)

Publication Number Publication Date
CN106663696A true CN106663696A (zh) 2017-05-10
CN106663696B CN106663696B (zh) 2020-12-08

Family

ID=55581630

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480081390.8A Active CN106663696B (zh) 2014-09-24 2014-09-24 使用具有表面终止物的纳米线形成的缩放的tfet晶体管

Country Status (6)

Country Link
US (1) US10535770B2 (zh)
EP (1) EP3198651A4 (zh)
KR (1) KR102247416B1 (zh)
CN (1) CN106663696B (zh)
TW (1) TW201624736A (zh)
WO (1) WO2016048306A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109524467A (zh) * 2017-09-20 2019-03-26 格芯公司 形成垂直场效应晶体管的方法以及所得结构

Families Citing this family (285)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US20170358658A1 (en) * 2014-09-26 2017-12-14 Intel Corporation Metal oxide metal field effect transistors (momfets)
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
EP3350115A1 (en) * 2015-09-14 2018-07-25 University College Cork Semi-metal rectifying junction
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) * 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102163383B1 (ko) * 2016-12-12 2020-10-08 어플라이드 머티어리얼스, 인코포레이티드 실리사이드 형성을 위한 방법들
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
FR3069952B1 (fr) 2017-08-07 2019-08-30 Commissariat A L'energie Atomique Et Aux Energies Alternatives Realisation d'un transistor a structure de canal et regions de source et de drain en semi-metal
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11869833B2 (en) 2021-09-15 2024-01-09 Qualcomm Incorporated Package comprising a substrate with a via interconnect coupled to a trace interconnect and method of fabricating the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2378557A1 (en) * 2010-04-19 2011-10-19 Imec Method of manufacturing a vertical TFET
US20120003222A1 (en) * 2010-06-28 2012-01-05 Five Prime Therapeutics, Inc. Fzd8 extracellular domains and fzd8 extracellular domain fusion molecules and treatments using same
CN103151390A (zh) * 2013-03-15 2013-06-12 南通大学 一种隧穿场效应晶体管
CN104051528A (zh) * 2013-03-13 2014-09-17 台湾积体电路制造股份有限公司 带内隧道fet

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US423202A (en) * 1890-03-11 andersson
CA2360312A1 (en) 2000-10-30 2002-04-30 National Research Council Of Canada Novel gate dielectric
US7619562B2 (en) * 2002-09-30 2009-11-17 Nanosys, Inc. Phased array systems
JP4502382B2 (ja) * 2004-11-02 2010-07-14 キヤノン株式会社 有機トランジスタ
DE602007012248D1 (de) * 2006-06-12 2011-03-10 Harvard College Nanosensoren und entsprechende technologien
US7893476B2 (en) 2006-09-15 2011-02-22 Imec Tunnel effect transistors based on silicon nanowires
US8120115B2 (en) * 2007-03-12 2012-02-21 Imec Tunnel field-effect transistor with gated tunnel barrier
US8338834B2 (en) * 2007-07-04 2012-12-25 National Institute For Materials Science Diamond semiconductor device
JP5279807B2 (ja) * 2010-12-08 2013-09-04 株式会社東芝 半導体装置およびその製造方法
KR20130002527A (ko) * 2011-06-29 2013-01-08 엘지이노텍 주식회사 나노와이어 제조방법
US8890120B2 (en) * 2012-11-16 2014-11-18 Intel Corporation Tunneling field effect transistors (TFETs) for CMOS approaches to fabricating N-type and P-type TFETs
US9390913B2 (en) * 2013-02-22 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor dielectric interface and gate stack
CN103824885B (zh) 2014-02-20 2015-05-20 重庆大学 带有源应变源的GeSn n沟道隧穿场效应晶体管
EP3134919B1 (en) * 2014-04-24 2023-07-19 University of Florida Research Foundation, Inc. Tunable barrier transistors for high power electronics
WO2015200885A1 (en) * 2014-06-27 2015-12-30 Massachusetts Institute Of Technology Structures for nitride vertical transistors
US10854735B2 (en) * 2014-09-03 2020-12-01 Taiwan Semiconductor Manufacturing Company Limited Method of forming transistor
US9287359B1 (en) * 2014-09-15 2016-03-15 Wisconsin Alumni Research Foundation Oriented bottom-up growth of armchair graphene nanoribbons on germanium
KR102434993B1 (ko) * 2015-12-09 2022-08-24 삼성전자주식회사 반도체 소자

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2378557A1 (en) * 2010-04-19 2011-10-19 Imec Method of manufacturing a vertical TFET
US20120003222A1 (en) * 2010-06-28 2012-01-05 Five Prime Therapeutics, Inc. Fzd8 extracellular domains and fzd8 extracellular domain fusion molecules and treatments using same
CN104051528A (zh) * 2013-03-13 2014-09-17 台湾积体电路制造股份有限公司 带内隧道fet
CN103151390A (zh) * 2013-03-15 2013-06-12 南通大学 一种隧穿场效应晶体管

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
王昶清: ""表面原子扩散和氢对石墨表面功函数影响"", 《CNKI硕士论文数据库》 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109524467A (zh) * 2017-09-20 2019-03-26 格芯公司 形成垂直场效应晶体管的方法以及所得结构

Also Published As

Publication number Publication date
US20170271501A1 (en) 2017-09-21
TW201624736A (zh) 2016-07-01
KR102247416B1 (ko) 2021-05-03
US10535770B2 (en) 2020-01-14
EP3198651A1 (en) 2017-08-02
EP3198651A4 (en) 2018-05-02
CN106663696B (zh) 2020-12-08
WO2016048306A1 (en) 2016-03-31
KR20170077105A (ko) 2017-07-05

Similar Documents

Publication Publication Date Title
CN106663696A (zh) 使用具有表面终止物的纳米线形成的缩放的tfet晶体管
KR102162676B1 (ko) 상보형 터널링 fet 디바이스와 그 형성 방법
CN105814680B (zh) 制造具有多个鳍高度的鳍式场效应晶体管的系统和方法
CN106062967A (zh) 具有袋状部的p隧穿场效应晶体管器件
CN105981145B (zh) 具有扩散势垒区的晶体管
CN106605303A (zh) 金属氧化物金属场效应晶体管(momfet)
Thirunavukkarasu et al. Gate-all-around junctionless silicon transistors with atomically thin nanosheet channel (0.65 nm) and record sub-threshold slope (43 mV/dec)
Keerthana et al. Jestr r
US20190333990A1 (en) Removal of a bottom-most nanowire from a nanowire device stack
CN108541342A (zh) 具有富锗沟道区的降低泄漏的晶体管
US11362188B2 (en) Field effect transistors with reduced electric field by thickening dielectric on the drain side
US11869890B2 (en) Stacked transistors with contact last
US20200279847A1 (en) Stacked transistor layout
KR102470094B1 (ko) 매칭된 스핀 전달 층으로부터의 높은 스핀 주입 효율을 갖는 스핀 로직 디바이스
WO2018125065A1 (en) 2-d material-based nanomechanical device
US20200161440A1 (en) Metal to source/drain contact area using thin nucleation layer and sacrificial epitaxial film
Chui et al. Heterogeneous integration of epitaxial nanostructures: Strategies and application drivers
US10298184B2 (en) Dual device semiconductor structures with shared drain
Lu et al. A novel capacitor-less DRAM with raised source structure

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant