CN105793984B - 根据拓扑结构形成的金属熔断器 - Google Patents

根据拓扑结构形成的金属熔断器 Download PDF

Info

Publication number
CN105793984B
CN105793984B CN201480065083.0A CN201480065083A CN105793984B CN 105793984 B CN105793984 B CN 105793984B CN 201480065083 A CN201480065083 A CN 201480065083A CN 105793984 B CN105793984 B CN 105793984B
Authority
CN
China
Prior art keywords
cable architecture
recess
fuse structure
separation layer
cable
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480065083.0A
Other languages
English (en)
Other versions
CN105793984A (zh
Inventor
李呈光
W·M·哈菲兹
C-H·简
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN105793984A publication Critical patent/CN105793984A/zh
Application granted granted Critical
Publication of CN105793984B publication Critical patent/CN105793984B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/62Protection against overvoltage, e.g. fuses, shunts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5256Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Fuses (AREA)

Abstract

本公开内容的实施例描述了用于集成(IC)电路器件中放入过电流熔断器的技术和构件。在一个实施例中,管芯的器件层可以包括在相对端部部分之间具有凹陷部分的第一线结构以及位于第一线结构的相对侧上的两个第二线结构。隔离材料可以被设置在线结构之间的间隙中以及由凹陷部分限定的第一凹陷中。隔离材料可以具有在第一凹陷中限定第二凹陷的凹陷部分,并且熔断器结构可以被设置在第二凹陷中。还可以描述和/或请求保护其它实施例。

Description

根据拓扑结构形成的金属熔断器
相关申请的交叉引用
本申请要求享有于2013年12月27日提交的名称为“METAL FUSE BY TOPOLOGY”的美国申请No.14/142,629的优先权,该申请以全文引用的方式并入本文中。
技术领域
本公开内容的实施例总体上涉及集成电路领域,更具体而言,涉及用于过电流熔断器的技术以及构件。
背景技术
熔断器是集成电路中用于提供过电流保护的牺牲器件。当向诸如细金属线之类的熔断器结构施加过量电流时,熔断器结构会失去导电性并形成开路。形成开路所需的电流量至少部分地取决于熔断器结构的截面积。适用于低程序电流的熔断器结构具有比适用于较高程序电流的熔断器小的截面积。然而,常规制造方法生产的熔断器结构至少有20-30nm宽。
附图说明
通过以下详细描述,结合附图,将容易地理解实施例。为了便于这种描述,相似的附图标记表示相似的结构元件。在附图的各图中通过举例而非限制的方式例示了实施例。
图1A示意性示出了根据一些实施例的具有熔断器结构的示例性管芯的截面侧视图。
图1B-C分别示意性示出了根据一些实施例的示例性管芯的器件层及其细节的平面图和透视图。
图2示意性示出了根据一些实施例的集成电路(IC)组件的截面侧视图。
图3示意性示出了根据一些实施例制造熔断器结构的方法的流程图。
图4示意性示出了根据一些实施例的处于工艺流程的一阶段的示例性管芯的截面侧视图。
图5示意性示出了根据一些实施例的处于工艺流程的另一阶段的示例性管芯的截面侧视图。
图6示意性示出了根据一些实施例的处于工艺流程的另一阶段的示例性管芯的截面侧视图。
图7示意性示出了根据一些实施例的处于工艺流程的另一阶段的示例性管芯的截面侧视图。
图8A-B示意性示出了根据一些实施例的处于工艺流程另一阶段的示例性管芯的截面侧视图及其细节的透视图。
图9示意性示出了根据一些实施例的处于工艺流程的另一阶段的示例性管芯的截面侧视图。
图10示意性示出了根据一些实施例的处于工艺流程的另一阶段的示例性管芯的截面侧视图。
图11示意性示出了根据一些实施例的处于工艺流程的另一阶段的示例性管芯的截面侧视图。
图12示意性示出了根据一些实施例的处于工艺流程的另一阶段的示例性管芯的顶视图。
图13示意性示出了根据一些实施例的处于工艺流程的另一阶段的示例性管芯的顶视图。
图14示意性示出了根据一些实施例的处于工艺流程的另一阶段的示例性管芯的顶视图。
图15示意性示出了根据一些实施例的处于工艺流程的另一阶段的示例性管芯的顶视图。
图16示意性示出了根据一些实施例的可以包括如本文所述的熔断器结构的示例性系统。
具体实施方式
本公开内容的实施例描述了熔断器结构以及用于在集成(IC)电路器件中形成熔断器结构的技术。在以下详细描述中,参考了附图,附图形成其一部分且其中通过例示方式示出了可以实践本公开内容的主题的实施例,其中,在附图中相似附图标记表示相似部分。要理解的是,可以利用其它实施例,可以做出结构或逻辑改变而不脱离本公开内容的范围。因此,不应以限制性意义理解以下详细描述,并且实施例的范围由所附权利要求及其等效形式限定。
出于本公开内容的目的,短语“A和/或B”表示(A)、(B)或(A和B)。出于本公开内容的目的,短语“A、B和/或C”表示(A)、(B)、(C)、(A和B)、(A和C)、(B和C)或(A、B和C)。
该描述可以使用基于视角的描述,例如顶部/底部、侧面、上方/下方等。这样的描述仅仅用于方便论述,并非意在将本文所述实施例的应用限制到任何特定取向。
该描述可以使用短语“在实施例中”,其可以指代相同或不同实施例中的一个或多个实施例。此外,如针对本公开内容的实施例所使用的,术语“包含”、“包括”、“具有”等是同义词。
本文中可以使用术语“与……耦合”连同其派生词。“耦合”可以表示以下中的一种或多种。“耦合”可以表示两个或更多个元件直接物理或电接触。然而,“耦合”也可以表示两个或更多个元件彼此间接接触,但仍然彼此合作或交互,并且可以表示一个或多个其它元件耦合或连接于据称彼此耦合的元件之间。术语“直接耦合”可以表示两个或更多个元件直接接触。
在各实施例中,短语“在第二构件上形成、沉积或以其它方式设置的第一构件”可以表示在第二构件上方形成、沉积或设置的第一构件,并且第一构件的至少一部分可以与第二构件的至少一部分直接接触(例如,直接物理和/或电接触)或间接接触(例如,在第一构件与第二构件之间具有一个或多个其它构件)。
如本文中所使用的,术语“模块”可以指,作为部分属于,或包括专用集成电路(ASIC)、电子电路、处理器(共享,专用或组)和/或执行一个或多个软件或固件程序的存储器(共享,专用或组)、组合逻辑电路和/或提供所述功能性的其它适当部件。
本文描述的实施例包括具有熔断器结构的集成电路(IC)设备以及对应的制造技术。在各实施例中,可以在管芯的器件层或金属层中形成熔断器结构。在一些实施例中,熔断器结构(例如,导电材料带)可以具有期望的宽度、长度、厚度和/或截面积。任选地,可以基于期望的最大电流选择熔断器结构的尺寸/截面积,以使得熔断器结构被配置为响应于施加超过期望最大电流的电流而熔化或以其它方式形成开路。
在常规制造技术中,使用光刻在材料层中形成沟槽并在沟槽中形成熔断器。熔断器的尺寸由沟槽尺寸确定,光刻的局限限制了可以控制沟槽的宽度(从而控制熔断器的宽度)的程度。相反,可以使用如本文所描述的制造技术的实施例根据拓扑结构(topology)来形成具有受控尺寸的熔断器结构。如本文使用的,术语“拓扑结构”通常指代给定区域(例如,管芯的器件层或金属层)的各种表面/结构构件的空间布置。例如,在一些实施例中,可以形成和/或修改相邻构件(例如,线性结构和/或线结构、栅极)以产生具有预定尺寸的三维表面,使得相邻构件上方所沉积的层形成尺寸对应于熔断器结构的期望尺寸的凹陷。因此,在各实施例中,可以通过产生对应的三维表面并向三维表面上沉积预定厚度的层以便形成尺寸对应于熔断器结构的期望尺寸的凹陷,来形成熔断器结构。
图1A示意性示出了根据一些实施例的具有熔断器结构160的示例性管芯102的侧视图。在一些实施例中,管芯102可以是由半导体材料构成的晶圆(未示出)的多个管芯中的一个管芯,该半导体材料例如是硅或其它适当的材料。每个管芯都可以是包括如本文所述的一个或多个熔断器结构的半导体产品的重复单元。
根据各实施例,管芯102可以包括在衬底102a上形成的器件层102b。衬底102a可以是由半导体材料体系(例如,包括N型或P型材料体系)构成的半导体衬底。衬底102a可以包括,例如,利用体硅或绝缘体上硅下部结构形成的晶体衬底。在一些实施例中,衬底102a可以利用替代材料形成,其可以与硅组合或不组合,替代材料包括,但不限于,锗、锑化铟、碲化铅、砷化铟、磷化铟、砷化镓或锑化镓。也可以使用被分类为II-VI族、III-V族或IV族材料的其它材料来形成衬底102a。在一些实施例中,管芯102可以是单体化的管芯(例如,图1或2的管芯102)或晶圆(未示出)的一个管芯。
根据各实施例,在扩展视图部分图1A中示出了器件层102b的另外的细节。在一些实施例中,器件层102b可以包括第一线结构172,以及被设置在两个第二线结构104和106之间的熔断器结构160。第一线结构172和第二线结构104/106可以被直接设置在衬底102a上,或者被设置在位于衬底102a与线结构172/104/106之间的一个或多个层上。第一线结构172和第二线结构104/106或其部分可以大致彼此平行地延伸。各实施例可以包括与第二线结构104/106基本相似或相同的另外的第二线结构180。另外的第二线结构180中的一些或所有第二线结构或其部分可以大致平行于第二线结构104/106和/或第一线结构172而延伸。
图1B-C分别示意性示出了根据一些实施例的示例性管芯(例如,图1的管芯102)的器件层及其细节的平面图和透视图。例如,如图1C所示,第一线结构172可以具有大致相对的端部部分172a和172b,以及限定第一凹陷174的第一凹陷部分142c。端部部分172a/172b可以在结构和/或组分上类似于第二线结构104/106。在一些实施例中,第一凹陷部分142c可以具有不同于端部部分172a/172b的结构和/或组分。一些实施例可能没有第一凹陷部分142c。
如图1B最好地示出的,熔断器结构160可以被设置在端部部分172a与172b之间,以及第二线结构104/106之间。线结构104、106和172中相邻线结构之间的间隙可以基本利用隔离材料146填充。隔离材料146也可以被设置在熔断器结构160与第一凹陷部分142c之间,以及熔断器结构160和第二线结构104和106之间。隔离材料146可以包封熔断器结构160。例如,如图11中所示,隔离材料146的第一部分可以基本填充熔断器结构160和第二线结构104的对应表面之间的第一间隙154,隔离材料146的第二部分可以基本填充熔断器结构160和第二线结构106的对应表面之间的第二间隙156,并且隔离材料146的第三部分可以基本填充熔断器结构160和第一线结构172的第一凹陷部分142c的对应表面之间的第三间隙158。在一些实施例中,第一间隙154的宽度可以与第二间隙156的宽度基本相同。因此,隔离材料的第一部分可以具有与隔离材料的第二部分的宽度(从熔断器结构160到第二线结构106)基本相同的宽度(从熔断器结构160到第二线结构104)。在各实施例中,第三间隙158可以具有与第一间隙和第二间隙中的每个间隙的宽度基本相等的高度。因此,隔离材料146的第三部分可以具有与隔离材料146的第一部分和第二部分中的每个的宽度基本相同的厚度(从第一凹陷部分142c到熔断器结构160)。在一些实施例中,熔断器结构160可以具有顶部、底部和相对侧,并且隔离材料146可以从熔断器结构160的侧面和底部中的每个向外延伸到基本相等的距离。例如,隔离材料146可以从熔断器结构160的底部延伸给定距离(例如,小于10nm、5-10nm、10-15、14-19nm)而到达凹陷部分142的上部表面,并从熔断器结构160的每个侧面延伸相同距离而到达第二线结构104或106的对应的第二线结构。
再次参考图1A,每个第二线结构104/106都可以包括对应栅极162上形成的电惰性层164。同样,第一线结构172的端部部分172a和172b还可以包括对应栅极162上形成的电惰性层164。从衬底102a测量,第一线结构172的第一凹陷部分142c可以具有比第二线结构104/106的高度小,和/或比端部部分172a/172b的高度小的高度。例如,在一些实施例中,第一凹陷部分142c的高度可以小于第二线结构104/106的栅极162的高度。在其它实施例中,第一凹陷部分142c的高度可以小于第二线结构104/106的栅极162和电惰性层164的组合高度。
在各实施例中,第一线结构172的第一凹陷部分142c可以是多晶硅。在其它实施例中,第一凹陷部分142c可以是(或可以包括)非晶硅和/或多晶硅锗。在其它实施例中,第一凹陷部分142c可以是(或可以包括)对氧化物和氮化物具有相对高的蚀刻选择性的任何材料。
在各实施例中,可以使用导电材料来形成栅极162,该导电材料例如一种或多种金属和/或金属合金。例如,在一些实施例中,栅极162可以是钨。在其它实施例中,栅极162可以包括钨、铜、镍、钴、铝、钛和/或金属合金材料中的一种或多种。电惰性层164可以是电绝缘材料。例如,在一些实施例中,电惰性层164可以包括氮化硅。在其它实施例中,电惰性层164可以包括碳化硅和/或氮氧化硅。在又一其它实施例中,电惰性层164可以包括电介质材料,其可以被用作硬掩模以通过图案化来形成第二线结构104、106。
在各实施例中,隔离材料146可以是任何导电材料。例如,在一些实施例中,隔离材料146可以是氮化硅。在其它实施例中,隔离层146可以包括碳化硅和/或氮氧化硅。在又一其它实施例中,隔离材料146可以包括电介质材料。
在各实施例中,可以使用诸如金属之类的导电材料来形成熔断器结构160。例如,在一些实施例中,熔断器结构160可以是钨。在其它实施例中,熔断器结构160可以包括钨、铜、镍、钴、铝、钛和/或金属合金材料中的一种或多种。
在各实施例中,器件层102b还可以包括衬底102a上形成的一个或多个晶体管。例如,在一些实施例中,第二线结构104、106可以充当一个或多个晶体管的栅电极/接触部。一个或多个晶体管可以包括为了清晰起见而未示出的另外构件,例如器件隔离区、各栅极接触部等。一个或多个晶体管可以包括各种类型和构件,例如,平面晶体管和非平面晶体管,例如双栅极晶体管、三栅极晶体管和环栅(AAG)晶体管或围栅晶体管,其中一些可以称为FinFET(场效应晶体管)。在一些实施例中,器件层102b包括逻辑器件或存储器件的一个或多个晶体管或存储单元,或其组合。
器件层102b还可以包括为了清晰起见而未示出的各种其它构件和部件。这种构件和部件的示例包括,但不限于,一个或多个源极和/或漏极区(下文称为“S/D区”)和一个或多个源极和/或漏极接触部,以向/从S/D区路由电信号。
可以向和/或从器件层102b的一个或多个晶体管,将电信号(例如,电力信号和/或输入/输出(I/O)信号)路由通过被设置在器件层102b上的一个或多个互连层(例如,在下文中称为“互连层102c”)。例如,器件层102b的导电构件(例如,栅极和/或S/D接触部)可以与一个或多个互连层102c的各互连结构(例如,沟槽/过孔;未示出)电耦合,该互连结构由导电材料形成并被配置为在器件层102b与互连层102c之间路由电信号。尽管图1和图2中示出了单个互连层102c,但本公开内容的实施例还包括具有两个或更多个互连层102c的IC器件。
尽管本公开内容描述了熔断器结构以及关于管芯器件层的形成的相关方法,但要理解的是,本文中明确设想到在其它位置形成这样的熔断器结构。例如,可以在互连层102c中的一个或多个互连层中或之间和/或在其它位置(例如,在封装衬底、电路板和/或集成电路(IC)系统的其它部件中)形成/提供一个或多个这样的熔断器结构。
图2示意性示出了根据一些实施例的集成电路(IC)组件200的截面侧视图。在一些实施例中,IC组件200可以包括通过互连结构108与封装衬底121电气和/或物理耦合的一个或多个管芯(在下文中称为“管芯102”)。在各实施例中,互连结构108可以是导电结构,例如凸块、柱或其它这样的结构。管芯102可以包括如本文描述的一个或多个线结构和熔断器结构。在一些实施例中,可以看出,封装衬底121可以与电路板122电耦合。
管芯102可以代表利用结合形成CMOS器件所使用的半导体制造技术(例如,薄膜沉积、光刻、蚀刻等)而由半导体材料(例如,硅)制造的分立产品。在一些实施例中,管芯102在一些实施例中可以是、包括处理器、存储器、SoC或ASIC或是其一部分。在一些实施例中,电绝缘材料(例如,模塑料或底部填充材料(未示出))可以包封管芯102和/或互连结构108的至少一部分。
管芯102可以根据多种适当构件而附接至封装衬底121,例如包括如图所示与倒装芯片构件中的封装衬底121直接耦合。在一些实施例中,互连结构108可以被配置为在管芯与封装衬底121之间路由电信号。电信号可以包括,例如,结合管芯的操作而使用的输入/输出(I/O)信号和/或电力/地信号。
在一些实施例中,封装衬底121是具有核和/或构建层的基于环氧树脂的层叠衬底,例如,味之素(Ajinomoto)增强膜(ABF)衬底。在其它实施例中,封装衬底121可以包括其它适当类型的衬底,例如,包括由玻璃、陶瓷或半导体材料形成的衬底。
封装衬底121可以包括被配置为向或从管芯102路由电信号的电路由构件。电路由构件可以包括,例如,被设置在封装衬底121的一个或多个表面上的焊盘或迹线(未示出)和/或被配置为路由电信号通过封装衬底121的内部路由构件(未示出),例如,沟槽、过孔或其它互连结构。在一些实施例中,封装衬底121可以包括被配置为接收管芯102的相应互连结构108的电路由构件,例如焊盘(未示出)。
电路板122可以是由诸如环氧树脂层叠体之类的电绝缘材料组成的印刷电路板(PCB)。例如,电路板122可以包括电绝缘层,其例如由以下材料构成:聚四氟乙烯、诸如阻燃剂4(FR-4)、FR1、棉纸之类的酚醛树脂棉纸材料、诸如CEM-1或CEM-3之类的环氧树脂材料或者利用环氧树脂预浸材料来层叠在一起的编织玻璃材料。可以通过电绝缘层来形成诸如迹线、沟槽、过孔之类的互联结构(未示出),从而将管芯102的电信号路由通过电路板122。在其它实施例中,电路板122可以由其它适当的材料构成。在一些实施例中,电路板122是母板(例如,图16的母板1602)。
可以将诸如焊球112之类的封装级互连件耦合到封装衬底121上和/或电路板122上的一个或多个焊盘(在下文中,“焊盘110”)以形成对应的焊接接头,该焊接接头被配置为在封装衬底121与电路板122之间进一步路由电信号。焊盘110可以由任何适当的导电材料构成,例如金属,包括例如镍(Ni)、钯(Pd)、金(Au)、银(Ag)、铜(Cu)及其组合。在一些实施例中,一个或多个第一焊盘110a可以被配置为将由互连件108中的第一互连件所路由的电信号路由通过焊球112a,并且一个或多个第二焊盘110b可以被配置为将由互连件108中的第二互连件所路由的电信号路由通过焊球112b。在其它实施例中可以使用将封装衬底121与电路板122物理和/或电耦合的其它适当的技术。
在其它实施例中,IC组件100可以包括各种其它适当构件,例如,包括倒装芯片和/或引线接合构件、内插件、多芯片封装构件的适当组合,该多芯片封装构件包括系统级封装(system-in-package)系统(SiP)和/或封装堆叠(package-on-package)(PoP)构件。在一些实施例中,可以使用在管芯102与IC组件100的其它部件之间路由电信号的其它适当的技术。
图3示意性示出了根据一些实施例制造具有熔断器结构的半导体装置的方法300的流程图。
图4-15示意性示出了根据各实施例的对应工艺流程的各个阶段。方法300可以适合于结合图1-2和图4-16所述的实施例,反之亦然。
在各实施例中,可以向具有凹陷部分的下层结构/层上沉积保形隔离层,以便将凹陷“缩小”到熔断器的期望宽度。因此,在一些实施例中,一种制造具有熔断器结构的半导体装置的方法可以包括:例如通过光刻或者一种或多种其它技术,在半导体装置的结构/层中形成凹陷;向该结构/层上沉积保形的隔离层,以在第一凹陷之内形成较小的第二凹陷;以及向第二开口中的熔断器结构中沉积导电材料。在一些实施例中,如下文更详细所述,向其上沉积保形隔离层的结构/层可以包括另一个牺牲结构(例如,本文描述的线性结构),并且该方法可以包括形成一个或多个牺牲结构,以及利用导电栅极或其它非牺牲结构(例如,线结构104、106和172)来替代一个或多个牺牲结构。或者,在该方法的其它实施例中,可以在不形成牺牲结构的情况下,形成具有熔断器结构的半导体装置。例如,在一些实施例中,该方法可以包括形成非牺牲结构,以及向非牺牲结构上沉积保形隔离层。作为另一示例,在其它实施例中,该方法可以包括在衬底上形成隔离层,在隔离层中形成开口(例如,通过光刻或其它技术),以及在隔离层中的开口中形成非牺牲结构。
在各实施例中,本文描述的方法可以实现形成与常规技术相比具有较小截面积和/或更可控尺寸的熔断器结构(例如,熔断器结构160)。在一些实施例中,可以使用本文描述的方法来控制熔断器结构的厚度和宽度。例如,在一些实施例中,可以使用隔离材料的保形沉积来控制熔断器结构的宽度,并且可以使用干法蚀刻工艺使下层结构凹陷,并由此控制熔断器结构的厚度。
在301处,该方法300可以包括提供半导体衬底(例如,本文描述的半导体衬底102a)。在一些实施例中,半导体衬底可以包括片上系统(SoC)构件的衬底。
在303处,该方法300可以包括在半导体衬底上形成第一线性结构,以及位于第一线性结构的相对侧的第二线性结构。图4-7示出了对应于303的示例性工艺流程的各个阶段。
在各实施例中,可以在半导体衬底(例如,衬底102a)上同时形成第一线性结构(例如,图7的第一线性结构142)和第二线性结构(例如,图7的第二线性结构144)。在其它实施例中,可以相继和/或在不同时间形成第一线性结构和第二线性结构。
在一些实施例中,形成第一线性结构和第二线性结构可以包括在半导体衬底(例如,图4的衬底102a)上形成多晶硅、电介质或其它适当材料的第一层(例如,图4的第一层132)。任选地,可以在第一层上形成硬掩模(例如,图5的硬掩模134)。可以利用光刻或其它适当的技术在硬掩模中生成开口(例如,图6的间隙138)来对硬掩模进行图案化。例如,如图7中所示,可以利用光刻和/或蚀刻工艺(例如,干法蚀刻工艺)形成由间隙(例如,间隙138)间隔开的多个线性结构(例如,线性结构142、144),而使得开口朝向衬底(例如,衬底102a)延伸。结果,所得线性结构的一些或全部都可以包括基础结构(例如,基础结构140)以及与基础结构耦合的硬掩模帽状件(例如,帽状件136)。因此,在各实施例中,基础结构可以由第一层(例如,第一层132)形成,并且帽状件可以由第二层(例如,第二层134)形成。在其它实施例中,可以由任何其它适当的工艺/技术来形成第一线性结构和第二线性结构。
在305处,该方法300可以包括在第一线性结构的大致相对的端部部分之间形成第一线性结构限定第一凹陷的凹陷部分。图8A-8B示出了根据各实施例对应于305的示例性工艺流程的阶段。
在各实施例中,可以在第一线性结构(例如,图8A-8B的线性结构142)的两个大致相对端部部分(例如,图8B的端部部分142a和142b)之间形成凹陷部分(例如,图8B的第一凹陷部分142c)。凹陷部分可以限定第一凹陷(例如,图8B的第一凹陷174)。在各实施例中,可以同时或按照任何次序执行303和305。
在各实施例中,可以通过利用光刻或其它适当技术沿目标区域(例如,图12的目标区域166)中的第一线性结构移除硬掩模(例如,帽状件136)的一部分,来在第一线性结构中形成凹陷部分(例如,图8B的第一凹陷部分142c)。任选地,也可以使用干法蚀刻工艺或其它适当技术移除下层第一层(例如,第一层132)的一部分,以形成第一凹陷部分,例如,如图8A、8B和图13中所示。
在307处,该方法300可以包括向第一线性结构和第二线性结构上沉积隔离材料,以形成隔离层,该隔离层具有限定第二凹陷的第二凹陷部分。图9示出了根据各实施例对应于307和309的示例性工艺流程的阶段。
在各实施例中,可以利用保形沉积技术(例如,原子层沉积、等离子体增强化学气相沉积),在第一线性结构和第二线性结构上沉积隔离材料(例如,图9的隔离材料146)。隔离材料可以基本填充第一凹陷部分与第二线性结构的相邻者之间的间隙。在一些实施例中,保形沉积隔离材料可以导致在与第一线性结构相邻的两个第二线性结构中的每个上形成具有基本相同厚度的隔离层。例如,如图9所示,这两个第二线性结构中的每个上的隔离材料的厚度都可能小于两个第二线性结构之间的距离的一半。结果,隔离材料/层可以具有在两个第二线性结构之间的第二凹陷部分(例如,第二凹陷部分148)。第二凹陷部分可以在第一凹陷之内限定第二凹陷(例如,图10的第二凹陷152)。
第二凹陷部分/第二凹陷的尺寸中的一个或多个可以取决于隔离材料的沉积厚度、第一线性结构中的第一凹陷的深度或两者的组合。例如,在一些实施例中,熔断器结构的厚度可以是第一凹陷部分的深度的函数,并且熔断器结构的宽度可以是隔离材料沉积深度的函数。因此,在各实施例中,可以通过控制第一凹陷部分的深度和隔离材料的沉积深度来形成具有期望厚度和宽度的熔断器结构。
在309处,该方法300可以包括向隔离材料上沉积牺牲材料(例如,图9的牺牲材料150)。牺牲材料可以在各实施例之间变化。在一些实施例中,牺牲材料可以是可流动的氧化物。在其它实施例中,牺牲材料可以是聚合物或其它适当材料。任选地,可以以粘性液体的形式施加牺牲材料。在一些实施例中,牺牲材料可以基本填充第二凹陷。
在311处,该方法300可以包括规划牺牲/隔离材料以暴露线性结构。图10示出了根据各实施例的工艺流程的对应阶段。在一些实施例中,可以通过利用料浆抛光牺牲材料和隔离材料,来移除牺牲材料和隔离材料的一部分,以暴露线性结构中的一个或多个。
在各实施例中,在313处,该方法300还可以包括移除第二线性结构和第一线性结构的端部部分。在一些实施例中,可以通过光刻、一种或多种蚀刻工艺和/或任何其它适当技术来移除第二线性结构和端部部分。例如,在一些实施例中,可以利用干法蚀刻技术来移除线性结构的上部部分和端部部分(例如,图8A的帽状件136和/或第二层134的剩余部分),并且可以利用湿法蚀刻技术来移除线性结构的下部部分(例如,或第一层132的剩余部分)。在任一情况下,一旦移除了第二线性结构和第一线性结构的端部部分,则第一凹陷部分142c可以保留在隔离材料146与衬底102a之间的地方。移除第二线性结构和第一线性结构的端部部分可以在隔离材料146中留下对应的开口(未示出)。
图11示出了根据各实施例对应于方法300的315、317和319的示例性工艺流程的阶段。在315处,该方法300可以包括形成第一线结构以及一个或多个第二线结构。在一些实施例中,形成第二线结构(例如,图1A、图1C、图11的第二线结构104/106/180)可以包括向通过移除第二线性结构所形成的开口中沉积诸如一种或多种金属之类的导电材料。类似地,形成第一线结构(例如,图1C的第一线结构172)可以包括向通过移除第一线性结构的端部部分所形成的开口中沉积相同或不同的导电材料。在各实施例中,可以保留第一线性结构的第一凹陷部分(例如,图1C的第一凹陷部分142c),以形成第一线结构的一部分。
在各实施例中,导电材料可以形成诸如栅极(例如,栅极162)之类的导电结构。在一些实施例中,形成第一线结构和第二线结构还可以包括在导电材料/栅极上形成电绝缘层(例如,电惰性层164)。例如,在一些实施例中,可以通过利用保形沉积技术向第二凹陷中沉积一种或多种金属或者一种或多种其它导电材料,来形成导电结构/栅极。在各实施例中,可以利用相同技术或任何其它适当技术向导电材料/栅极上沉积氮化硅、电介质材料或其它电绝缘/惰性材料,来形成电绝缘层。任选地,可以在形成导电结构/栅极之后并在形成电绝缘层之前,或在形成电绝缘层之后,或者两者,执行抛光工艺。
在317处,方法300可以包括从第二凹陷移除牺牲材料。在各实施例中,可以利用湿法蚀刻工艺(例如,利用氟化氢)或其它适当技术从第二凹陷移除牺牲材料。
在319处,方法300可以包括在第二凹陷中形成熔断器结构(例如,熔断器结构160)。图11和图14示出了根据各实施例的工艺流程的对应阶段。在一些实施例中,可以通过利用保形沉积技术向第二凹陷中沉积一种或多种金属或一种或多种其它导电材料,来形成熔断器结构。任选地,可以在形成熔断器结构之后执行抛光工艺。
任选地,在321处,该方法300可以包括在熔断器结构上形成电路由构件。图15示出了根据各实施例的示例性工艺流程的对应阶段。在一些实施例中,可以在熔断器结构(例如,图1A-B、图11、图14、图15的熔断器结构160)上形成一个或多个电路由构件(例如,图15的电路由构件168)。一个或多个电路由构件可以是,但不限于,导电焊盘、纳米线、金属填充过孔和其它这样的导电构件。电路由构件的数量、尺寸和组分可以在实施例之间变化。
在各实施例中,在321处,该方法300还可以包括在一个或多个电路由构件上形成一个或多个管芯互连层(例如,图1A、图2的一个或多个管芯互连层102c)。在一些实施例中,一个或多个电路由构件可以被设置在管芯的器件层(例如,图1A、图2的管芯102的器件层102b)中。在其它实施例中,一个或多个电路由构件可以被设置在管芯的管芯互连层(例如,图1A、图2的管芯102的一个或多个管芯互连层102c)中。
同样,在一些实施例中,可以制造半导体装置而不形成牺牲结构(例如,线性结构142/144),或利用非牺牲结构(例如,线结构104、106和172)替代牺牲结构。因此,在各实施例中,可以省略301、303和305中的任一个或全部。例如,在一些实施例中,可以在衬底上形成第一线结构和第二线结构,并且可以向第一线结构和第二线结构上沉积隔离材料(例如,在307处)。在其它实施例中,可以向衬底上沉积隔离材料并被图案化以在隔离层中生成开口,并且可以在开口中形成第一线结构和第二线结构。在其它实施例中,可以在衬底上形成第一线结构的凹陷部分,可以向凹陷部分和衬底上沉积隔离材料并对其进行图案化以在隔离层中生成开口,并且可以在开口中形成第二线结构以及第一线结构的相对端部。在其它实施例中,可以在衬底上形成第二线结构和第一线结构的相对端部,可以向这些结构和衬底上沉积隔离材料并对其进行图案化以在第一线结构的相对端部之间的隔离层中生成开口,并且可以在开口中形成第一线结构的凹陷部分。
在一些实施例中,可以控制第一凹陷部分和/或线性/线结构的尺寸以形成具有特定尺寸的第二凹陷,以便能够形成具有对应尺寸的熔断器结构。例如,可以通过形成较厚的隔离层和/或通过减小在次级线性/线结构之间的间隙的大小来生产相对较窄的熔断器结构。作为另一示例,可以通过控制第一线性/线结构中的第一凹陷的深度来控制熔断器结构的厚度。
各个操作以最有助于理解所请求保护的主题的方式被描述为依次进行的多个分立操作。然而,不应将描述的次序解释为暗示这些操作必然是依赖于次序的。可以利用任何适当的硬件和/或软件在系统中实施本公开内容的实施例,以根据需要进行配置。
图16示意性示出了根据一些实施例可以包括如本文所述的熔断器结构的示例性系统(例如,计算设备1600)。计算设备1600可以容纳诸如母板1602之类的板。母板1602可以包括多个部件,包括,但不限于,处理器1604和至少一个通信芯片1606。处理器1604可以物理耦合和电耦合到母板1602。在一些实施方式中,至少一个通信芯片1606也可以物理耦合和电耦合到母板1602。在其它实施方式中,通信芯片1606可以是处理器1604的部分。
根据其应用,计算设备1600可以包括其它部件,该其它部件可以物理耦合和电耦合到母板1602或者不耦合到母板1602。这些其它部件可以包括,但不限于,易失性存储器(例如,DRAM)、非易失性存储器(例如,ROM)、闪速存储器、图形处理器、数字信号处理器、密码处理器、芯片组、天线、显示器、触摸屏显示器、触摸屏控制器、电池、音频编解码器、视频编解码器、功率放大器、全球定位系统(GPS)设备、罗盘、盖革计数器、加速度计、陀螺仪、扬声器、相机和大容量储存设备(例如,硬盘驱动器、光盘(CD)、数字多功能盘(DVD)等)。
通信芯片1606可以实现无线通信,以便将数据传送到计算设备1600以及传送来自计算设备1600数据。术语“无线”及其派生词可用于描述可通过使用经过非固态介质的经调制的电磁辐射来传送数据的电路、设备、系统、方法、技术、通信信道等。该术语并非暗示所关联的设备不包含任何线,尽管在某些实施例中它们可能不含有。通信芯片1606可以实施多个无线标准或协议中的任何标准或协议,这些标准或协议包括,但不限于,电气和电子工程师学会(IEEE)标准,包括Wi-Fi(IEEE 802.11族)、IEEE 802.16标准(例如,IEEE 802.16-2005修正)、长期演进(LTE)项目以及任何修正、更新、和/或修订(例如,先进LTE项目、超移动宽带(UMB)项目(也被称为“3GPP2”)等)。IEEE 802.16兼容的BWA网络通常被称为WiMAX网络(代表微波存取全球互通的首字母略缩词),其是用于通过IEEE 802.16标准的一致性和互通性测试的产品的认证标志。通信芯片1606可以根据以下来进行操作:全球移动通信系统(GSM)、通用无线分组业务(GPRS)、通用移动通信系统(UMTS)、高速分组接入(HSPA)、演进的HSPA(E-HSPA)、或LTE网络。通信芯片1606可以根据以下来进行操作:数据增强型GSM演进(EDGE)、GSM EDGE无线接入网络(GERAN)、通用陆地无线接入网(UTRAN)、或演进的UTRAN(E-UTRAN)。通信芯片1606可以根据以下来进行操作:码分多址(CDMA)、时分多址(TDMA)、数字增强无绳通信(DECT)、演进数据优化(EV-DO)及其衍生物,以及被命名为3G、4G、5G及以上的任何其它无线协议。在其它实施例中,通信芯片1606可以根据其它无线协议来进行操作。
计算设备1600可以包括多个通信芯片1606。例如,第一通信芯片1606可以专用于较短距离无线通信(例如,Wi-Fi和蓝牙),并且第二通信芯片1606可以专用于较长距离无线通信(例如,GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO以及其它)。
计算设备1600的处理器1604可以包括本文描述的具有熔断器结构的管芯(例如,图1-2的管芯102)。例如,可以在母板1602上设置的封装组件中设置图1-2的管芯102。术语“处理器”可以指代对来自寄存器和/或存储器的电子数据进行处理以便将该电子数据转换成可以被储存在寄存器和/或存储器中的其它电子数据的任何设备或设备的一部分。
通信芯片1606还可以包括具有本文所述的熔断器结构的管芯(例如,图1-2的管芯102)。在其它实施方式中,容纳于计算设备1600内的另一部件(例如,存储设备或其它集成电路器件)可以包含具有本文所述熔断器结构的管芯(例如,图1-2的管芯102)。
在各实施方式中,计算设备1600可以是移动计算设备、膝上计算机、上网本、笔记本、超极本、智能电话、平板电脑、个人数字助理(PDA)、超级移动PC、移动电话、台式计算机、服务器、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、数码相机、便携式音乐播放器、或数字视频录像机。在另外的实施方式中,计算设备1600可以是处理数据的任何其它电子设备。
示例
根据各实施例,本公开内容描述了一种装置(例如,集成电路(IC)组件),其包括半导体衬底、被设置在半导体衬底上的第一线结构、沿第一线结构的相对侧被设置在半导体衬底上的第二线结构和第三线结构、隔离层和熔断器结构。在各实施例中,第一线结构可以具有两个端部部分以及在两个端部部分之间的第一凹陷部分。凹陷部分可以限定第一凹陷,并且凹陷部分可以被设置在第一凹陷与半导体衬底之间。在各实施例中,第二线结构和第三线结构可以与第一线结构间隔开,以限定位于第二线结构与第一线结构之间的第一间隙以及位于第三线结构与第一线结构之间的第二间隙。在各实施例中,隔离层可以被设置在第一间隙和第二间隙中,并且还被设置在第一凹陷中。在各实施例中,隔离层可以具有在第一凹陷中限定第二凹陷的第二凹陷部分。在各实施例中,熔断器结构可以被设置在第二凹陷中,并且隔离层的一部分可以被设置在熔断器结构与第一线结构之间。
在各实施例中,隔离层的该部分可以是第一部分,并且隔离层的第二部分可以被设置在熔断器结构与第二线结构之间。在各实施例中,隔离层的第三部分可以被设置在熔断器结构与第三线结构之间。在各实施例中,熔断器结构的表面可以与隔离层距半导体衬底最远的表面大致共面。在各实施例中,熔断器结构和第二线结构之间的距离与熔断器结构和第三线结构之间的距离可以基本相同。
在各实施例中,熔断器结构可以具有10-15nm的宽度。在各实施例中,第二线结构和第三线结构可以提供一个或多个晶体管的栅极结构。在各实施例中,两个端部部分的表面可以与熔断器结构的表面和隔离层的表面大致共面。在各实施例中,第二线结构的表面可以与熔断器结构的表面和隔离层的表面大致共面。在各实施例中,第三线结构的表面可以与熔断器结构的表面、隔离层的表面以及第二线结构的表面大致共面。
在各实施例中,该装置还可以包括在熔断器结构的表面上所设置的电路由构件。在各实施例中,凹陷部分可以包括多晶硅。在各实施例中,端部部分可以包括金属。在各实施例中,一种或多种导电材料可以包括钨。在各实施例中,隔离层可以包括聚合物。在各实施例中,隔离层可以包括氮化硅、碳化硅或氮氧化硅。在各实施例中,隔离层可以包括氮化硅。
根据各实施例,本公开内容描述了(例如,形成集成电路(IC)组件的)方法。在各实施例中,该方法可以包括在半导体衬底上形成第一线结构,该第一线结构具有两个端部部分以及在两个端部部分之间的限定第一凹陷的凹陷部分;向第一线结构和第二线结构上沉积隔离材料,以使得隔离材料基本填充第一间隙和第二间隙以及第一凹陷,该隔离材料具有在第一凹陷中限定第二凹陷的凹陷部分;以及在第二凹陷中形成熔断器结构。在各实施例中,第一线结构可以被设置在两个第二线结构之间并且与两个第二线结构间隔开,以限定第一线结构与两个第二线结构中的第一个之间的第一间隙,以及第一线结构与两个第二线结构中的第二个之间的第二间隙。在各实施例中,可以在第一凹陷与半导体衬底之间设置凹陷部分。在各实施例中,可以向第一线结构和第二线结构上沉积隔离材料,以使得隔离材料基本填充第一间隙和第二间隙以及第一凹陷。在各实施例中,可以在熔断器结构与第一线结构之间设置隔离材料的一部分。
在各实施例中,沉积隔离材料可以包括通过保形沉积技术来沉积隔离材料,并且形成熔断器结构可以包括在第二凹陷中沉积金属。在各实施例中,该方法还可以包括在沉积金属之前向隔离材料上沉积牺牲材料以填充第二凹陷,以及从第二凹陷移除牺牲材料。在各实施例中,该方法还可以包括从半导体衬底移除第二线结构中的至少一个以在隔离材料中留下相应的间隙,以及在间隙中形成导电的线结构。
在各实施例中,形成第一线结构可以包括在半导体衬底上沉积多晶硅层,以及对多晶硅层进行图案化以形成第一间隙和第二间隙。在各实施例中,形成第一线结构可以包括使用蚀刻工艺来形成凹陷部分。在各实施例中,隔离材料可以包括氮化物。在各实施例中,该方法还可以包括在熔断器结构上形成导电元件。
根据各实施例,本公开内容描述了一种包括电路板以及与电路板耦合的封装组件的系统(例如,集成电路(IC)系统)。在各实施例中,封装组件可以包括管芯,该管芯具有:半导体衬底;第一线结构,该第一线结构被设置在半导体衬底上并且具有两个端部部分以及位于两个端部部分之间的第一凹陷部分;第二线结构和第三线结构,该第二线结构和该第三线结构沿第一线结构的相对侧被设置在半导体衬底上;隔离层,该隔离层具有在第一凹陷中限定第二凹陷的第二凹陷部分;以及熔断器结构,该熔断器结构被设置在第二凹陷中。在各实施例中,凹陷部分可以在朝向半导体衬底的方向上限定第一凹陷。在各实施例中,第二线结构和第三线结构可以与第一线结构间隔开,以限定第二线结构与第一线结构之间的第一间隙以及第三线结构与第一线结构之间的第二间隙。在各实施例中,隔离层可以被设置在第一间隙和第二间隙中并且还被设置在第一凹陷中。在各实施例中,隔离层的一部分可以被设置在熔断器结构与第一线结构之间。
在各实施例中,隔离层的所述部分可以是第一部分,隔离层的第二部分可以被设置在熔断器结构与第二线结构之间,隔离层的第三部分可以被设置在熔断器结构与第三线结构之间。在各实施例中,熔断器结构的表面可以与隔离层的距半导体衬底最远的表面大致共面。在各实施例中,熔断器结构和第二线结构之间的距离,与熔断器结构和第三线结构之间的距离可以基本相同。在各实施例中,熔断器结构可以具有10-15nm的宽度。在各实施例中,第二线结构和第三线结构可以提供一个或多个晶体管的栅极结构。在各实施例中,两个端部部分的表面可以与熔断器结构的表面和隔离层的表面大致共面。
在各实施例中,第二线结构的表面可以与熔断器结构的表面和隔离层的表面大致共面。在各实施例中,第三线结构的表面可以与熔断器结构的表面、隔离层的表面以及第二线结构的表面大致共面。在各实施例中,系统还可以还包括被设置在熔断器结构的表面上的电路由构件。在各实施例中,凹陷部分可以包括多晶硅。在各实施例中,端部部分可以包括金属。在各实施例中,一种或多种导电材料可以包括钨。在各实施例中,隔离层可以包括聚合物。在各实施例中,隔离层可以包括氮化硅。
各实施例可以包括以上所描述的实施例的任何适当的组合,这些实施例包括以结合的形式(和)以上所描述的实施例的替代实施例(或)实施例(例如,“和”可以是“和/或”)。此外,一些实施例可以包括具有储存在其上的指令的一个或多个制品(例如,非暂时性计算机可读介质),当执行该指令时,引起以上所描述的实施例中任何实施例的动作。此外,一些实施例可以包括具有用于执行上述实施例的各种操作的任何适当模块的装置或系统。
以上对所例示的实施方式的描述(包括在摘要中所描述的那些)并非旨在是详尽的或者将本公开内容的实施例限制到所公开的精确形式。尽管出于例示性的目的,在本文中描述了具体实施方式和示例,但本领域技术人员将认识到的,在本公开内容的范围内,各种等效修改是可能的。
根据以上具体实施方式,可以对本公开内容的实施例作出这些修改。在所附权利要求书中所使用的术语不应当被解释为将本公开内容的各实施例限制到在说明书和权利要求书中所公开的具体实施方式。相反,完全由所附权利要求来确定范围,将根据权利要求解读的既定教义来解释权利要求。

Claims (25)

1.一种集成电路装置,包括:
半导体衬底;
第一线结构,所述第一线结构被设置在所述半导体衬底上,所述第一线结构具有两个端部部分以及位于所述两个端部部分之间的第一凹陷部分,所述凹陷部分限定第一凹陷,其中,所述凹陷部分被设置在所述第一凹陷与所述半导体衬底之间;
第二线结构和第三线结构,所述第二线结构和所述第三线结构沿所述第一线结构的相对侧被设置在所述半导体衬底上,所述第二线结构和所述第三线结构与所述第一线结构间隔开,以限定所述第二线结构与所述第一线结构之间的第一间隙以及所述第三线结构与所述第一线结构之间的第二间隙;
隔离层,所述隔离层被设置在所述第一间隙和所述第二间隙中并且还被设置在所述第一凹陷中,所述隔离层具有在所述第一凹陷中限定第二凹陷的第二凹陷部分;以及
熔断器结构,所述熔断器结构被设置在所述第二凹陷中,其中所述隔离层的部分被设置在所述熔断器结构与所述第一线结构之间。
2.根据权利要求1所述的集成电路装置,其中,所述隔离层的所述部分是第一部分,所述隔离层的第二部分被设置在所述熔断器结构与所述第二线结构之间,并且所述隔离层的第三部分被设置在所述熔断器结构与所述第三线结构之间。
3.根据权利要求1所述的集成电路装置,其中,所述熔断器结构的表面与所述隔离层的距所述半导体衬底最远的表面大致共面。
4.根据权利要求1所述的集成电路装置,其中,所述熔断器结构与所述第二线结构之间的距离和所述熔断器结构与所述第三线结构之间的距离基本相同。
5.根据权利要求1所述的集成电路装置,其中,所述熔断器结构具有10-15nm的宽度。
6.根据权利要求1所述的集成电路装置,其中,所述第二线结构和所述第三线结构提供一个或多个晶体管的栅极结构。
7.根据权利要求3所述的集成电路装置,其中,所述两个端部部分的表面与所述熔断器结构的表面和所述隔离层的表面大致共面。
8.根据权利要求3所述的集成电路装置,其中,所述第二线结构的表面与所述熔断器结构的表面和所述隔离层的表面大致共面。
9.根据权利要求8所述的集成电路装置,其中,所述第三线结构的表面与所述熔断器结构的表面、所述隔离层的表面以及所述第二线结构的表面大致共面。
10.根据权利要求3所述的集成电路装置,还包括被设置在所述熔断器结构的表面上的电路由构件。
11.根据权利要求1至10中任一项所述的集成电路装置,其中,所述第一凹陷部分包括多晶硅。
12.根据权利要求11所述的集成电路装置,其中,所述端部部分包括金属。
13.根据权利要求12所述的集成电路装置,其中,所述熔断器结构包括钨。
14.根据权利要求1至10中任一项所述的集成电路装置,其中,所述隔离层包括氮化硅、碳化硅或氮氧化硅。
15.根据权利要求11所述的集成电路装置,其中,所述隔离层包括氮化硅。
16.一种用于形成集成电路装置的方法,包括:
在半导体衬底上形成具有两个端部部分以及位于所述两个端部部分之间的凹陷部分的第一线结构,其中,所述第一线结构被设置在两个第二线结构之间并且与所述两个第二线结构间隔开,以限定所述第一线结构与所述两个第二线结构中的第一个第二线结构之间的第一间隙,以及所述第一线结构与所述两个第二线结构中的第二个第二线结构之间的第二间隙,所述凹陷部分限定第一凹陷,并且所述凹陷部分被设置在所述第一凹陷与所述半导体衬底之间;
在所述第一线结构和所述第二线结构上沉积隔离材料,以使得所述隔离材料基本填充所述第一间隙和所述第二间隙和以及所述第一凹陷,并且所述隔离材料具有在所述第一凹陷中限定第二凹陷的凹陷部分;以及
在所述第二凹陷中形成熔断器结构,其中,所述隔离材料的部分被设置在所述熔断器结构与所述第一线结构之间。
17.根据权利要求16所述的方法,其中,沉积所述隔离材料包括通过保形沉积技术来沉积所述隔离材料,并且形成所述熔断器结构包括在所述第二凹陷中沉积金属。
18.根据权利要求17所述的方法,还包括:
在沉积所述金属之前,在所述隔离材料上沉积牺牲材料以填充所述第二凹陷;以及
从所述第二凹陷移除所述牺牲材料。
19.根据权利要求17所述的方法,还包括:
从所述半导体衬底移除所述第二线结构中的至少一个,以在所述隔离材料中留下相应的间隙;以及
在所述间隙中形成导电的线结构。
20.根据权利要求16至19中任一项所述的方法,其中,形成所述第一线结构包括:
在所述半导体衬底上淀积多晶硅层;以及
对所述多晶硅层进行图案化,以形成所述第一间隙和所述第二间隙。
21.一种集成电路(IC)系统,包括:
电路板;以及
封装组件,所述封装组件与所述电路板耦合,所述封装组件包括管芯,所述管芯具有:
半导体衬底;
第一线结构,所述第一线结构被设置在所述半导体衬底上,所述第一线结构具有两个端部部分以及位于所述两个端部部分之间的第一凹陷部分,所述凹陷部分在朝向所述半导体衬底的方向上限定第一凹陷;
第二线结构和第三线结构,所述第二线结构和所述第三线结构沿所述第一线结构的相对侧被设置在所述半导体衬底上,所述第二线结构和所述第三线结构与所述第一线结构间隔开,以限定所述第二线结构与所述第一线结构之间的第一间隙以及所述第三线结构与所述第一线结构之间的第二间隙;
隔离层,所述隔离层被设置在所述第一间隙和所述第二间隙中并且还被设置在所述第一凹陷中,所述隔离层具有在所述第一凹陷中限定第二凹陷的第二凹陷部分;以及
熔断器结构,所述熔断器结构被设置在所述第二凹陷中,其中,所述隔离层的部分被设置在所述熔断器结构与所述第一线结构之间。
22.根据权利要求21所述的集成电路(IC)系统,其中,所述隔离层的所述部分是第一部分,所述隔离层的第二部分被设置在所述熔断器结构与所述第二线结构之间,所述隔离层的第三部分被设置在所述熔断器结构与所述第三线结构之间。
23.根据权利要求21所述的集成电路(IC)系统,其中,所述熔断器结构的表面与所述隔离层距所述半导体衬底最远的表面大致共面。
24.根据权利要求21至23中任一项所述的集成电路(IC)系统,其中,所述熔断器结构与所述第二线结构之间的距离和所述熔断器结构与所述第三线结构之间的距离基本相同。
25.根据权利要求21至23中任一项所述的集成电路(IC)系统,其中,所述第一凹陷部分包括多晶硅,并且所述端部部分包括金属。
CN201480065083.0A 2013-12-27 2014-11-26 根据拓扑结构形成的金属熔断器 Active CN105793984B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/142,629 US9324665B2 (en) 2013-12-27 2013-12-27 Metal fuse by topology
US14/142,629 2013-12-27
PCT/US2014/067730 WO2015099951A1 (en) 2013-12-27 2014-11-26 Metal fuse by topology

Publications (2)

Publication Number Publication Date
CN105793984A CN105793984A (zh) 2016-07-20
CN105793984B true CN105793984B (zh) 2019-02-19

Family

ID=53479517

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480065083.0A Active CN105793984B (zh) 2013-12-27 2014-11-26 根据拓扑结构形成的金属熔断器

Country Status (7)

Country Link
US (1) US9324665B2 (zh)
EP (1) EP3087601B1 (zh)
KR (1) KR102355266B1 (zh)
CN (1) CN105793984B (zh)
MY (1) MY182322A (zh)
TW (1) TWI567923B (zh)
WO (1) WO2015099951A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104810878B (zh) * 2014-01-28 2017-05-10 广东欧珀移动通信有限公司 过压过流保护电路和移动终端
US9502355B2 (en) * 2014-02-26 2016-11-22 Nvidia Corporation Bottom package having routing paths connected to top package and method of manufacturing the same
US10643006B2 (en) * 2017-06-14 2020-05-05 International Business Machines Corporation Semiconductor chip including integrated security circuit

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW544699B (en) * 2002-06-04 2003-08-01 United Microelectronics Corp Method of forming a fuse
CN1992255A (zh) * 2005-12-27 2007-07-04 台湾积体电路制造股份有限公司 半导体结构、电熔线及其形成方法
CN102047409A (zh) * 2008-06-02 2011-05-04 美光科技公司 提供电性隔离的方法及包含所述方法的半导体结构
CN102376888A (zh) * 2010-08-04 2012-03-14 美光科技公司 与熔丝阵列一起形成电阻式随机存取存储器
CN103199011A (zh) * 2012-01-09 2013-07-10 台湾积体电路制造股份有限公司 FinFET及其形成方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001250867A (ja) * 2000-03-07 2001-09-14 Fujitsu Ltd 半導体装置及びその製造方法
US6864124B2 (en) * 2002-06-05 2005-03-08 United Microelectronics Corp. Method of forming a fuse
JP2005223172A (ja) * 2004-02-06 2005-08-18 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US20070029576A1 (en) * 2005-08-03 2007-02-08 International Business Machines Corporation Programmable semiconductor device containing a vertically notched fusible link region and methods of making and using same
US20070063310A1 (en) 2005-09-19 2007-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. A metal fuse for semiconductor devices and methods of manufacturing thereof
JP2007258485A (ja) * 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
US7675137B2 (en) 2007-07-26 2010-03-09 International Business Machines Corporation Electrical fuse having sublithographic cavities thereupon
KR101037452B1 (ko) 2008-10-22 2011-05-26 주식회사 하이닉스반도체 반도체 소자의 퓨즈 및 그 형성 방법
KR101129772B1 (ko) * 2009-07-29 2012-04-13 주식회사 하이닉스반도체 반도체 소자의 퓨즈 및 그 형성 방법
KR101177483B1 (ko) * 2009-12-29 2012-08-27 에스케이하이닉스 주식회사 반도체 소자의 퓨즈 및 그 형성 방법
US8570800B2 (en) * 2010-08-20 2013-10-29 Shine C. Chung Memory using a plurality of diodes as program selectors with at least one being a polysilicon diode
JP2012059825A (ja) * 2010-09-07 2012-03-22 Elpida Memory Inc 半導体装置の製造方法
WO2012086104A1 (ja) * 2010-12-22 2012-06-28 パナソニック株式会社 半導体装置とその製造方法
US8471296B2 (en) * 2011-01-21 2013-06-25 International Business Machines Corporation FinFET fuse with enhanced current crowding
US8367494B2 (en) * 2011-04-05 2013-02-05 International Business Machines Corporation Electrical fuse formed by replacement metal gate process
CN104025293B (zh) * 2011-10-18 2018-06-08 英特尔公司 利用非平面拓扑的反熔丝元件
US8963257B2 (en) * 2011-11-10 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistors and methods for fabricating the same
US9070624B2 (en) 2011-12-16 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including polysilicon resistor and metal gate resistor and methods of fabricating thereof
US8981523B2 (en) * 2012-03-14 2015-03-17 International Business Machines Corporation Programmable fuse structure and methods of forming

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW544699B (en) * 2002-06-04 2003-08-01 United Microelectronics Corp Method of forming a fuse
CN1992255A (zh) * 2005-12-27 2007-07-04 台湾积体电路制造股份有限公司 半导体结构、电熔线及其形成方法
CN102047409A (zh) * 2008-06-02 2011-05-04 美光科技公司 提供电性隔离的方法及包含所述方法的半导体结构
CN102376888A (zh) * 2010-08-04 2012-03-14 美光科技公司 与熔丝阵列一起形成电阻式随机存取存储器
CN103199011A (zh) * 2012-01-09 2013-07-10 台湾积体电路制造股份有限公司 FinFET及其形成方法

Also Published As

Publication number Publication date
TWI567923B (zh) 2017-01-21
CN105793984A (zh) 2016-07-20
WO2015099951A1 (en) 2015-07-02
US9324665B2 (en) 2016-04-26
TW201535665A (zh) 2015-09-16
EP3087601A4 (en) 2017-08-16
EP3087601B1 (en) 2019-11-13
KR20160105385A (ko) 2016-09-06
MY182322A (en) 2021-01-19
KR102355266B1 (ko) 2022-01-26
US20150187709A1 (en) 2015-07-02
EP3087601A1 (en) 2016-11-02

Similar Documents

Publication Publication Date Title
JP6659077B2 (ja) 装置、方法およびシステム
CN110176491A (zh) 量子点器件中的栅极布置
TW202013646A (zh) 裝置層互連
KR101889674B1 (ko) 집적 회로 디바이스들 및 방법들
CN110024133A (zh) 垂直晶体管器件和技术
CN109791924A (zh) 量子计算组件
CN109378342A (zh) 多阈值电压器件以及相关联的技术和结构
TW202101733A (zh) 具有閘極或接點插塞之自對準閘極端蓋(sage)架構
US20200295127A1 (en) Stacked transistors with different crystal orientations in different device strata
KR20190092401A (ko) 자체-정렬형 비아
TWI729035B (zh) 在閘極下具有子鰭介電區的電晶體、半導體裝置及製造其之程序以及運算裝置
CN105793984B (zh) 根据拓扑结构形成的金属熔断器
TW202234610A (zh) 在具有直接接合之微電子總成中之氣密式密封結構
TW202315044A (zh) 具有用於晶粒至晶粒扇出縮放之混合式接合中介件的微電子總成
TW202224037A (zh) 在具有直接接合之微電子總成中之氣密式密封結構
EP3709343A1 (en) Stacked transistors having device strata with different channel widths
US11557489B2 (en) Cavity structures in integrated circuit package supports
KR20210120808A (ko) 집적 회로 구조들에서의 게이트 간격
CN113451254A (zh) 集成电路封装支撑部中的磁性结构
US11710694B2 (en) Integrated circuit structures with contoured interconnects
TWI788388B (zh) 用於積體電路結構之間隔物的方向性移除
KR20210120809A (ko) 집적 회로 구조체들에서의 디바이스 콘택트 크기 조정
JP2022096605A (ja) マイクロ電子構造体におけるカラーグレーティング
TWI833887B (zh) 具有閘極接點之自對準閘極端蓋(sage)架構
TWI839470B (zh) 具有垂直溝槽之源極或汲極結構

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant