TW202230722A - 積體電路結構中的閘極間距 - Google Patents

積體電路結構中的閘極間距 Download PDF

Info

Publication number
TW202230722A
TW202230722A TW110130467A TW110130467A TW202230722A TW 202230722 A TW202230722 A TW 202230722A TW 110130467 A TW110130467 A TW 110130467A TW 110130467 A TW110130467 A TW 110130467A TW 202230722 A TW202230722 A TW 202230722A
Authority
TW
Taiwan
Prior art keywords
gate
region
dielectric material
disordered
channel
Prior art date
Application number
TW110130467A
Other languages
English (en)
Inventor
查理斯 沃蘭斯
墨西特 哈朗
保羅 奈赫斯
果培 辛格
韓應諾
夏肯 大衛
尚恩 伯賽爾
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW202230722A publication Critical patent/TW202230722A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本文討論的是積體電路(IC)結構中的閘極間距,以及相關的方法和部件。例如,在一些實施例中,IC結構可以包含:具有縱軸的第一閘極金屬;第二閘極金屬,其中所述第一閘極金屬的所述縱軸與所述第二閘極金屬的縱軸對齊;所述第一閘極金屬上方的第一閘極接點;所述第二閘極金屬上方的第二閘極接點;以及具有無序層狀圖案的無序區,其中所述無序區與所述第一閘極接點和所述第二閘極接點共面。

Description

積體電路結構中的閘極間距
本發明關於積體電路結構中的閘極間距。
電子部件可能包含主動電子元件,諸如電晶體。這些元件的設計可能會影響電子部件的尺寸、性能和可靠性。
本文討論的是積體電路(IC)結構中的閘極間距,以及相關的方法和部件。例如,在一些實施例中,IC結構可以包含:具有縱軸的第一閘極金屬;第二閘極金屬,其中所述第一閘極金屬的所述縱軸與所述第二閘極金屬的縱軸對齊;所述第一閘極金屬上方的第一閘極接點;所述第二閘極金屬上方的第二閘極接點;以及具有無序層狀圖案的無序區,其中所述無序區與所述第一閘極接點和所述第二閘極接點共面。
電晶體閘極可以透過建立跨越多個裝置區(例如,通道佈線的堆疊,或鰭形通道)垂直延伸的單片結構來形成。這種程序可以稱為「後閘極」方法。如果所需的電路需要在相鄰裝置區之間的閘極中斷開(以將不同裝置區上的閘極斷電),傳統的製造技術是在初始「虛設閘極」中形成孔圖案,用介電質材料重新填充孔,接著用所需的閘極介電質和閘極金屬材料替換剩餘的「虛設閘極」。
然而,使用這種傳統技術製造的電晶體在按比例縮小以增加裝置密度的能力方面可能受到限制。例如,「虛設閘極」材料的不完全移除、不合需要的錐形孔輪廓以及用所需的閘極材料填充高深寬比的孔的困難度都可能隨著裝置變得更小而加劇,並且可能致使不可接受的低良率。
本文揭露的結構和技術可以提供改進的閘極切口技術,所述技術避免了傳統方法的風險,同時實現了理想的小閘極切口尺寸。
在下面的詳細描述中,參考了形成其一部分的附圖,其中相似的數字始終表示相似的部分,以及其中透過說明的方式顯示了可以實施的實施例。應當理解的是,其它實施例可以被利用,並且在不脫離本揭露的範圍的情況下,可以做出結構上或邏輯上的改變。因此,以下詳細描述不應被理解為限制意義。
各種操作可以用最有助於理解要求保護標的之方式依次描述為多個離散動作或操作。然而,描述的順序不應被解釋為暗示這些操作必然取決於順序。特別是,這些操作可能不會按照呈現的順序執行。所描述的操作可以用與所描述的實施例不同的順序來執行。可以執行各種額外的操作,和/或在額外的實施例中可以省略所描述的操作。
為了本揭露的目的,短語「A和/或B」是指(A)、(B)或(A和B)。出於本揭露的目的,短語「A、B和/或C」是指(A)、(B)、(C)、(A和B)、(A和C)、(B和C),或(A、B和C)。短語「A或B」是指(A)、(B)或(A和B)。附圖不一定按比例繪製。儘管許多圖示都顯示了具有平坦壁和直角拐角的直線結構,但這只是為了便於說明,使用這些技術製造的實際裝置將呈現圓角、表面粗糙度和其它特徵。
描述中使用了短語「在一個實施例中」或「在實施例中」,其各自可以指代一或多個相同或不同的實施例。此外,關於本揭露的實施例所使用的用語「包含」、「包括」、「具有」等是同義詞。當用於描述尺寸範圍時,短語「在X和Y之間」表示包含X和Y的範圍。如本文所用,除非另有說明,用語「絕緣」是指「電絕緣」。為方便起見,短語「圖1」可用於指代圖1A至圖1E的圖示的集合。短語「圖2」可用於指代圖2A至圖2D的圖示的集合。
圖1提供了根據各種實施例的IC結構100的橫截面圖。特別是,圖1A是穿過圖1C和1D的截面A-A截取的橫截面圖(垂直於通道區202的縱軸,並且跨越不同通道區202的源極/汲極區128/130),圖1B是穿過圖1C和1D的截面B-B截取的橫截面圖(垂直於通道區202的縱軸,並且跨越橫跨多個通道區202的閘極204),圖1C是穿過圖1A和1B的截面C-C截取的橫截面圖(沿通道區202的縱軸),圖1D是穿過圖1A和1B的截面D-D截取的橫截面圖(在相鄰的通道區202之間,平行於通道區202的縱軸),以及圖1E是穿過圖1A-1D的截面E-E截取的橫截面圖。圖2-35的子圖「A」、「B」、「C」、「D」和「E」分別共享圖1的子圖「A」、「B」、「C」、「D」和「E」的相同;請注意,圖2-35中,只有圖33包含「E」子圖。儘管附圖中的各者描繪了特定數目的裝置區206(例如,三個)、在裝置區206中的通道區202(例如,三個),和通道區202中的通道材料106的特定佈置(例如,兩條佈線),這只是為了便於說明,IC結構100可以包含更多或更少的裝置區206和/或通道區202,和/或通道材料106的其它佈置。
裝置區206可以相對於底層基部102垂直定向,其中多個裝置區206沿著基部102排列。基部102可以是由包含例如n型或p型材料系統(或兩者的組合)的半導體材料系統組成的半導體基板。基部102可以包含例如使用本體矽形成的晶體基板。基部102可以包含在本體矽或砷化鎵基板上的二氧化矽層。基部102可以包含轉化層(例如,在基於氧的退火程序期間已經轉化為二氧化矽的矽層)。在一些實施例中,基部102可以使用替代材料形成,所述替代材料可以或可以不與矽結合,其包含但不限於鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵或銻化鎵。還可以使用分類為II-VI、III-V或IV族的其它材料來形成基部102。儘管本文描述了可以形成基部102的材料的幾個範例,但是可以使用可以用作IC結構100的基礎的任何材料或結構。基部102可以是單片化晶粒(例如,圖39的晶粒1502)或晶圓(例如,圖39的晶圓1500)的一部分。在一些實施例中,基部102本身可以包含互連層、絕緣層、鈍化層、蝕刻停止層、額外的裝置層等。如圖1所示,基部102可以包含台座222,介電質材料110可以設置在台座222周圍;介電質材料110可包含任何合適的材料,諸如淺溝槽隔離(STI)材料(例如,氧化物材料,諸如氧化矽)。
IC結構100可以包含具有通道材料106與縱軸(從圖1A和1B的觀點進入頁面,以及從圖1C和1D的觀點的左右)的一或多個裝置區206。裝置區206的通道材料106可以用多種方式中的任一種來佈置。例如,圖1顯示裝置區206的通道材料106為包含多個半導體佈線(例如,環繞式閘極(GAA)中的奈米線或奈米帶、叉片(forksheet)、雙閘極、或虛設雙閘極電晶體)。儘管各個附圖描繪了裝置區206的通道材料106中特定數量的佈線,但這僅僅是為了便於說明,並且裝置區206可以包含更多或更少的佈線作為通道材料106。在其它實施例中,代替一或多個半導體佈線或者除了一或多個半導體佈線之外,一或多個裝置區206的通道材料106可以包含半導體鰭;下面參考圖34討論這種實施例的範例。在一些實施例中,通道材料106可以包含矽和/或鍺。更一般地,本文揭露的任何IC結構100或其子結構(例如,下面討論的閘極切口314)可用於具有任何期望架構的電晶體,諸如叉片電晶體、雙閘極電晶體或虛設雙閘極電晶體。在一些實施例中,通道材料106可包含銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵或銻化鎵,或分類為II-VI、III-V或IV族的其它材料。在一些實施例中,通道材料106可以包含半導體氧化物(例如,氧化銦鎵鋅)。在一些實施例中,在特定裝置區206中的不同佈線中使用的通道材料106的材料成分可以不同,或者可以相同。
源極/汲極(S/D)區128/130可以與通道材料106的縱向端電接觸,允許電流在操作期間透過通道材料106從一個S/D區128/130流到另一個S/D區128/130(在透過遮罩材料308和介電質材料122/142的S/D接點向S/D區128/130施加適當的電位時,未顯示)。如下文參考圖2-34進一步討論的,S/D區128可具有特定摻雜類型(也就是說,n型或p型),而S/D區130可以具有相反的摻雜類型(也就是說,分別為p型或n型);附圖中的S/D區128/130的特定佈置只是說明性的,並且可以使用任何期望的佈置(例如,透過適當的選擇性掩蔽)。S/D區128/130可以被包含介電質材料112及介電質材料120的絕緣材料區橫向限制;這些絕緣材料區可以在相鄰裝置區206中的S/D區128/130之間提供阻擋。如圖1A所示,在一些實施例中,介電質材料112可具有U形橫截面,其中具有介電材料120。
在一些實施例中,S/D區128/130可以包含矽合金,諸如矽鍺或碳化矽。在一些實施例中,S/D區128/130可以包含諸如硼、砷或磷的摻雜物。在一些實施例中,S/D區128/130可以包含一或多種替代半導體材料,諸如鍺或III-V族材料或合金。對於p型金屬氧化物半導體(PMOS)電晶體,S/D區128/130可以包含例如IV族半導體材料,諸如矽、鍺、矽鍺、鍺錫或與碳合金化的矽鍺。矽、矽鍺和鍺中的範例p型摻雜物包含硼、鎵、銦和鋁。對於n型金屬氧化物半導體(NMOS)電晶體,S/D區128/130可以包含例如III-V族半導體材料,諸如銦、鋁、砷、磷、鎵和銻,以及一些範例化合物包含砷化銦鋁、磷化銦砷、砷化銦鎵、磷化砷銦鎵、銻化鎵、銻化鎵鋁、銻化銦鎵或磷化銦鎵銻。
通道材料106可以與閘極介電質136接觸。在一些實施例中,閘極介電質136可以圍繞通道材料106(例如,當通道材料106包含佈線時,如圖1所示),而在其它實施例中,閘極介電質136可以不圍繞通道材料106(例如,當通道材料106包含鰭時,如下面參考圖34所討論的,或者在叉片、雙閘極或虛設雙閘極電晶體中)。閘極介電質136可以包含一層或層的堆疊。一層或多層可以包含氧化矽、二氧化矽、碳化矽和/或高k介電質材料。高k介電質材料可以包含諸如鉿、矽、氧、鈦、鉭、鑭、鋁、鋯、鋇、鍶、釔、鉛、鈧、鈮和鋅的元素。可以在閘極介電質136中使用的高k材料的範例包含但不限於氧化鉿、氧化鉿矽、氧化鑭、氧化鑭鋁、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、氧化鋇鍶鈦、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、氧化鉛鈧鉭和鉛鋅鈮酸。在一些實施例中,當使用高k材料時,可以對閘極介電質136執行退火程序以提高其品質。
閘極介電質136可以設置在通道材料106和閘極金屬138之間。在一些實施例中,閘極金屬138可以圍繞通道材料106(例如,當通道材料106包含佈線時,如圖1所示),而在其它實施例中,閘極金屬138可以不圍繞通道材料106(例如,當通道材料106包含鰭時,如下面參考圖34所討論的,或者在叉片、雙閘極或虛設雙閘極電晶體中)。閘極金屬138和閘極介電質136可以一起為相關通道區202中的相關通道材料106提供閘極204,其中通道材料106的電阻抗由施加到相關閘極204的電位調變(透過閘極接點140)。閘極金屬138可以包含至少一種p型功函數金屬或n型功函數金屬(或兩者),這取決於作為其一部分的電晶體是PMOS電晶體還是NMOS電晶體。在一些實現中,閘極金屬138可以包含兩個或更多個金屬層的堆疊,其中一或多個金屬層是功函數金屬層並且至少一個金屬層是填充金屬層。可以出於其它目的而包含額外的金屬層,諸如阻擋層(例如,鉭、氮化鉭、含鋁合金等)。在一些實施例中,閘極金屬138可以包含降低電阻的帽層(例如,銅、金、鈷或鎢)。對於PMOS電晶體,可用於閘極金屬138的金屬包含但不限於釕、鈀、鉑、鈷、鎳、導電金屬氧化物(例如,氧化釕),以及本文參考NMOS電晶體討論的任何金屬(例如,用於功函數調諧)。對於NMOS電晶體,可用於閘極金屬138的金屬包含但不限於鉿、鋯、鈦、鉭、鋁、這些金屬的合金、這些金屬的碳化物(例如,碳化鉿、碳化鋯、碳化鈦、碳化鉭和碳化鋁),以及以上參考PMOS電晶體討論的任何金屬(例如,用於功函數調諧)。在一些實施例中,閘極金屬138可以包含其中一或多種材料的濃度的漸變(增加或減少)。在一些實施例中,閘極金屬138可以包含鎢或氮化鈦。例如,介電質材料124可以包含氮化矽、氧化矽、碳化矽、摻雜碳的氮化矽、摻雜碳的氧化矽、氮氧化矽或摻雜碳的氮氧化矽。通道材料106、閘極介電質136、閘極金屬138和相關的S/D區128/130可以一起形成電晶體。
圖1B和1E顯示了隔離縱軸對齊的兩個閘極204的閘極切口314。閘極切口314可包含兩個相關閘極204的相鄰端部之間的介電質材料312。在一些實施例中,閘極切口314的切口尺寸232可以介於10奈米和200奈米之間。
圖1的IC結構的其它元件的尺寸(以及本文揭露的其它實施例)可以採用任何合適的形式。例如,在一些實施例中,閘極204的閘極長度208可以在3奈米和100奈米之間;根據需要,裝置區206中的不同閘極204可以具有相同的閘極長度208或不同的閘極長度208。在一些實施例中,通道材料106的寬度210可以在3奈米和30奈米之間。在一些實施例中,通道材料106的厚度212可以在1奈米和500奈米之間(例如,當通道材料106是鰭時,在40奈米和400奈米之間,而當通道材料106是佈線時,在5奈米和40奈米之間)。在通道區202包含半導體佈線的一些實施例中,通道區202中的佈線的相鄰者之間的間距214可以在5奈米和40奈米之間。
在一些實施例中,IC結構100可以是記憶體裝置的一部分,並且IC結構100的電晶體可以將資訊儲存在IC結構100中或促進對記憶體裝置的儲存元件的存取(例如,讀取和/或寫入)。在一些實施例中,IC結構100可以是處理裝置的一部分。在一些實施例中,IC結構100可以是包含記憶體和邏輯裝置的裝置的一部分(例如,在單一晶粒1502中,如下文所討論的),諸如處理器和快取記憶體。更一般地,本文揭露的IC結構100可以是記憶體裝置、邏輯裝置或兩者的一部分。
圖2-34顯示了用於製造圖1的IC結構100的範例程序的階段。儘管可以參考本文揭露的IC結構100的特定實施例來說明所述程序的操作,但是圖2-34的程序和其變體可用於形成任何合適的IC結構。在圖2-34中以特定次數和特定順序顯示了操作,但可以根據所需來將操作重新排序和/或重複(例如,當同時製造多個IC結構100時,具有並行地執行的不同操作)。
圖2顯示了包含基部102和基部102上的材料層的堆疊的組件。材料層的堆疊可以包含一或多層的通道材料106,其透過犧牲材料104的中介層彼此(且與基部102)間隔開。圖2的組件的堆疊中的材料層的尺寸和佈置對應於IC結構100中的通道材料106的期望尺寸和佈置,如下文將進一步討論的,因此圖2的組件中的材料層可以不同於圖2所示的特定實施例。例如,通道材料106的層的厚度可以對應於上面討論的通道厚度212(儘管通道材料106的層的厚度可能由於處理期間的材料損失等與最終通道厚度212不同),並且犧牲材料104的層的厚度可以對應於上面討論的佈線間距214(儘管犧牲材料104的層的厚度可能由於處理期間的材料損失等與最終佈線間距214不同)。犧牲材料104可以是在後續處理操作中可以被適當地選擇性移除的任何材料(如下討論)。例如,犧牲材料104可以是矽鍺,而通道材料106可以是矽。在另一範例中,犧牲材料104可以是二氧化矽,而通道材料106可以是矽或鍺。在另一範例中,犧牲材料104可以是砷化鎵,而通道材料106可以是砷化銦鎵、鍺或矽鍺。圖2的組件可以使用任何合適的沉積技術形成,諸如化學氣相沉積(CVD)、金屬有機氣相磊晶(MOVPE)、分子束磊晶(MBE)、物理氣相沉積(PVD)、原子層沉積(ALD)或層轉移程序。
圖3顯示了在圖2的組件上形成經圖案化的遮罩材料108之後的組件。形成經圖案化的遮罩材料108可以包含沉積遮罩材料108(使用任何合適的方法),接著選擇性地移除遮罩材料108的部分(例如,使用微影技術)以形成經圖案化的遮罩材料108。在一些實施例中,經圖案化的遮罩材料108的圖案可首先形成初始沉積的遮罩材料108上的另一種材料中,接著所述圖案可從其它材料被轉移到遮罩材料108。如下文進一步討論的,遮罩材料108的位置可以對應於IC結構100中的裝置區206。在圖3的實施例中,遮罩材料108可以被圖案化為多個平行的矩形部分(對應於下面討論的鰭220)。
圖4顯示根據經圖案化的遮罩材料108的圖案,在形成圖2的組件的材料堆疊中的鰭220之後的組件。蝕刻技術可用於形成鰭220,包含濕式和/或乾式蝕刻方案,以及各向同性和/或各向異性蝕刻方案。鰭220可以包含犧牲材料104和通道材料106,以及基部102的一部分;包含在鰭220中的基部102的部分提供台座222。鰭220的寬度可以等於通道材料106的寬度210,如上所述。任何合適數量的鰭220可以包含在圖4的組件中(例如,多於或少於3個)。儘管圖4中描繪的鰭220(和其它附圖)是完美的矩形,但這只是為了便於說明,在實際製造環境中,鰭220的形狀可能不是完美的矩形。例如,鰭220可以是錐形的,朝向基部102加寬。鰭220的頂表面可以不是平坦的,而是可以是彎曲的,形成鰭220的側表面,並且這些非理想性可以攜帶轉入後續處理操作。在一些實施例中,鰭220的節距101可以在20奈米和50奈米之間(例如,在20奈米和40奈米之間)。
圖5顯示了在圖4的組件的基部102上在鰭220之間形成介電質材料110之後的組件。介電質材料110可以包含任何合適的材料,諸如STI材料(例如,氧化物材料,諸如氧化矽)。介電質材料110可以透過均厚沉積介電質材料110接著將介電質材料110凹陷回所需厚度來形成。在一些實施例中,介電質材料110的厚度可被選擇,使得介電質材料110的頂表面大致上與台座222的頂表面共面。在一些實施例中,介電質材料110的頂表面上方的鰭220的高度103可以在40奈米和100奈米之間(例如,在50奈米和70奈米之間)。
圖6顯示了在圖5的組件上方形成介電質材料112的共形層之後的組件。可以使用任何合適的技術(例如,ALD)來形成介電質材料112。介電質材料112可包含任何合適的材料(例如,氧化矽)。
圖7顯示了在圖6的組件上方形成介電質材料114之後的組件。介電質材料114可以在鰭220的頂表面上方延伸,如圖所示,並且可以作為「虛設閘極」。介電質材料114可包含任何合適的材料(例如,多晶矽)。
圖8顯示了在圖7的組件上形成經圖案化的遮罩材料116之後的組件。遮罩材料116可包含任何合適的材料(例如,氮化矽、碳摻雜的氧化矽,或碳摻雜的氮氧化矽)。遮罩材料116可被圖案化成被定向成垂直於鰭220的縱軸的條帶(根據圖8C和圖8D的觀點,進入和離開頁面),對應於IC結構100中的閘極204的位置,如下文進一步討論的。
圖9顯示了在使用經圖案化的遮罩材料116作為遮罩來蝕刻圖8的組件的介電質材料114(「虛設閘極」)之後的組件。剩餘介電質材料114的位置可以對應於IC結構100中的閘極204的位置,如下文進一步討論的。
圖10顯示了在圖9的組件上沉積介電質材料120之後的組件。介電質材料120可以均厚沉積在圖16的組件上,接著介電質材料120可以被研磨(例如,透過化學機械研磨(CMP))或以其它方式凹陷回,使得介電質材料120的頂表面與遮罩材料116的頂表面共面。介電質材料120可以包含任何合適的材料(例如,氧化物,諸如氧化矽)。
圖11顯示了在圖10的組件上沉積遮罩材料126之後的組件。遮罩材料126可具有任何合適的材料成分;例如,在一些實施例中,遮罩材料126可以包含氮化鈦。
圖12顯示了在圖案化圖11的組件的遮罩材料126,以便在將對應於S/D區130的區域中選擇性地移除遮罩材料126,而在適當位置留下遮罩材料126之後的組件。可以使用任何合適的圖案化技術(例如,微影技術)來圖案化遮罩材料126。各個附圖中所描繪的IC結構100中的S/D區130的特定佈置(以及因此經圖案化的遮罩材料126的特定佈局)只是說明性的,並且可以使用任何所需的佈置;例如,圖35描繪了具有不同佈置的S/D區130的IC結構100。
圖13顯示了在使圖12的組件的經暴露的介電質材料120(也就是說,介電質材料120不受遮罩材料126保護)凹陷之後的組件。可以使用任何合適的選擇性蝕刻技術來使經暴露的介電質材料120凹陷,諸如各向同性蝕刻。在未被遮罩材料126保護的區域中,可以保留介電質材料120。
圖14顯示了在移除圖13的組件中的犧牲材料104和通道材料106的未由遮罩材料126覆蓋的部分以形成開放體積224(例如,使用任何合適的蝕刻技術)之後的組件。這些開放體積224可以對應於IC結構100中的S/D區130的位置,如下文進一步討論的,並且如圖所示與介電質材料112自對準。
圖15顯示了在使圖14的組件的經暴露的犧牲材料104凹陷,而不同時凹陷經暴露的通道材料106(如在圖22C中所示)之後的組件。可以使用任何合適的選擇性蝕刻技術。由於經暴露的犧牲材料104的此部分橫向凹陷與經暴露的通道材料106自對準,所以經暴露的犧牲材料104的凹陷在通道材料106的寬度上可以是均勻的(也就是說,從圖22A的觀點的左右方向)。
圖16顯示了在圖15的組件上方共形沉積介電質材料124,接著使介電質材料124凹陷之後的組件,使得介電質材料124可以保留在接近開放體積224的犧牲材料104的側表面上(如圖23C所示)。介電質材料124可包含任何合適的材料(例如,低k介電質材料),並且可以被沉積以填充由凹陷經暴露的犧牲材料104形成的凹部(如以上參考圖12所討論)。在一些實施例中,共形沉積介電質材料124可以包含一或多種介電質材料的多回合沉積(例如,三回合)。可以使用任何合適的選擇性蝕刻技術來使介電質材料124凹陷,諸如各向同性蝕刻。凹部的量可以是使得介電質材料124的經凹陷的表面與通道材料106的側表面齊平(未顯示)或略微超出,如圖23C所示。超出通道材料106的側表面的經暴露的介電質材料124的過度凹陷可能致使裝置性能下降(例如,由於升高的寄生接點到閘極耦合電容)和/或裝置缺陷(例如,由於接點到閘極短路)。
圖17顯示了在圖16的組件的開放體積224中形成S/D區130之後的組件。S/D區130可以透過從基部102和通道材料106的經暴露表面播種的磊晶生長形成,而S/D區130的橫向範圍(例如,在圖24A的左右方向上)可以透過與開放體積224接壤的介電質材料112來限制。在一些實施例中,S/D區130可以包含n型磊晶材料(例如,在NMOS電晶體中使用的重度原位摻雜磷的材料)。在一些實施例中,S/D區130的磊晶生長可以包含初始成核操作以提供種子層,隨後是主要磊晶操作,其中S/D區130的其餘部分形成在種子層上。
圖18顯示了在圖17的組件上沉積介電質材料的共形層142,接著沉積介電質材料122之後的組件。介電質材料142可以是接觸蝕刻停止層(CESL),並且可以由任何合適的材料(例如,氮化矽)形成。在一些實施例中,介電質材料122可以是預金屬介電質(PMD),諸如氧化物材料(例如,氧化矽)。
圖19顯示了從圖18的組件移除遮罩材料126,沉積並圖案化遮罩材料127,接著使經暴露的介電質材料120(即未被遮罩材料127保護的介電質材料120)凹陷之後的組件。遮罩材料127可具有任何合適的材料成分;例如,在一些實施例中,遮罩材料127可以包含氮化鈦。遮罩材料127可被圖案化,以便選擇性地移除將對應於S/D區128的區域中的遮罩材料127,而在適當位置留下遮罩材料127。可以使用任何合適的圖案化技術(例如,微影技術)來圖案化遮罩材料127。可以使用任何合適的選擇性蝕刻技術來使經暴露的介電質材料120凹陷,諸如各向同性蝕刻。如上所述,在各個附圖中描繪的IC結構100中的S/D區128的特定佈置(以及因此經圖案化的遮罩材料127的特定佈局)僅是說明性的,並且可以使用任何所需的佈置;例如,圖35描繪了具有不同佈置的S/D區128的IC結構100。
圖20顯示了在移除圖19的組件中未被遮罩材料127覆蓋的犧牲材料104和通道材料106的部分,以形成開放體積225(例如,使用任何合適的蝕刻技術),使經暴露的犧牲材料104凹陷而不同時使經暴露的通道材料106凹陷,共形地沉積介電質材料124,以及使介電質材料124凹陷之後的組件。這些開放體積225可以對應於IC結構100中的S/D區128的位置,如下文進一步討論的,並且如圖所示與介電質材料112自對準。這些操作可以採用以上參考圖14-16討論的任何形式。介電質材料124可以保留在開放體積225附近的犧牲材料104的側表面225,如圖27C所示。
圖21顯示了在圖20的組件的開放體積225中形成S/D區128,沉積介電質材料154的共形層,以及沉積介電質材料156之後的組件。S/D區128可以透過從基部102和通道材料106的經暴露表面播種的磊晶生長形成,而S/D區128的橫向範圍(例如,在圖28A的左右方向上)可以透過與開放體積225接壤的介電質材料112來限制。在一些實施例中,S/D區130可以包含p型磊晶材料(例如,在PMOS電晶體中使用的重度原位摻雜硼的材料)。在一些實施例中,S/D區128的磊晶生長可以包含初始成核操作以提供種子層,隨後是主要磊晶操作,其中S/D區128的其餘部分形成在種子層上。在一些實現中,S/D區128可以使用諸如矽鍺或碳化矽的矽合金製造。在一些實施例中,磊晶沉積的矽合金可以用摻雜物原位摻雜,諸如硼、砷或磷。在一些實施例中,S/D區128可以使用一或多種替代半導體材料,諸如鍺或III-V族材料或合金形成。介電質材料154可以是CESL,並且可以由任何合適的材料(例如,氮化矽)形成。在一些實施例中,介電質材料156可以是PMD,諸如氧化物材料(例如,氧化矽)。
圖22顯示了在研磨圖21的組件的遮罩材料127、介電質材料122、介電質材料142、介電質材料154和介電質材料156(例如,使用CMP技術)以暴露通道區202上方的遮罩材料116之後的組件。
圖23顯示了在從圖22的組件移除遮罩材料116、介電質材料114(「虛設閘極」)和經暴露的介電質材料112,以形成開放體積226之後的組件。可以使用任何合適的蝕刻技術。
圖24顯示了在透過移除犧牲材料104來「釋放」圖23的組件的通道材料106之後的組件。可以使用任何合適的選擇性蝕刻技術。
圖25顯示了在圖24的組件上方形成共形閘極介電質136,形成閘極金屬138,接著研磨圖24的組件的閘極金屬138和閘極介電質136以移除介電質材料122和介電質材料156上方的閘極金屬138和閘極介電質136之後的組件。可以使用任何合適的研磨技術,諸如CMP技術。閘極介電質136可以使用任何合適的技術(例如,ALD)形成,並且可以包含本文參考閘極介電質136討論的任何材料。閘極金屬138可以包含任何一或多個材料層,諸如本文參考閘極金屬138討論的任何材料。
圖26顯示了在圖25的組件上形成包含第一刷材料302和第二刷材料304的初始刷之後的組件。第一刷材料302和第二刷材料304可用作嵌段共聚物(BCP)的定向自組裝(DSA)的模板,如下述。第一刷材料302和第二刷材料304可以選擇性地附著到底層材料;具體地,第一刷材料302可以選擇性地附著於金屬材料,因此可以優先附著到閘極金屬138,而第二刷材料304可以選擇性地附著到介電質材料,從而可以優先附著到介電質材料122/142和閘極介電質136,如圖所示。因此,第一刷材料302和第二刷材料304可以「自對準」到底層結構中的材料而無需微影圖案化。可以使用多種合適的聚合物刷材料302/304中的任何一種,諸如選擇性地吸引金屬/介電質材料的自組裝單層。例如,第一刷材料302可以是具有硫醇官能團以選擇性地附著到金屬的有機聚合物,而第二刷材料304可以包含另一種有機聚合物,但是可以使用其它合適的材料。在一些實施例中,第一刷材料302和第二刷材料304可以是BCP的部件,但是任何合適的一或多種材料可以包含在刷中(例如,不是將在刷上進行DSA的BCP部件的材料)。此外,在一些實施例中,可以僅使用單一刷材料(例如,僅第一刷材料302,在對應於圖26中的第二刷材料302的位置的初始刷中具有間隙)。
圖27顯示了在圖26的組件上沉積BCP 306,接著處理所述結果,使BCP 306根據圖26的組件的刷提供的模板自組裝之後的組件。特別是,圖26的最終元件包含與底層第一刷材料302對齊的交替垂直定向的第一BCP部件306A和與底層第二刷材料304對齊的第二BCP部件306B。例如,在BCP 306是PS-PMMA的一些實施例中,第一BCP部件306A可包含聚苯乙烯(PS),而第二BCP部件306B可包含聚(甲基丙烯酸甲酯)(PMMA)。在圖27所示的特定圖案化區之外(例如,在保護環下,在記憶體陣列的外圍,或在微電子裝置的「非活動」或未圖案化的區中,如下面參考圖37-38所討論的),刷(如果存在)可能不提供在其上BCP表面306容易自組裝成交替垂直定向的BCP部件306A和306B的表面,取而代之,在這種未圖案化區中的BCP 306可以自組裝成BCP部件306A和306B的無序薄片;無序薄片可以具有如圖36所示的結構(下面討論)。
圖28顯示了從圖27的組件移除第二BCP部件306B和第二刷材料304之後的組件。如圖28所示,第一刷材料302(與閘極金屬138對齊)可以保持在原位,並且可以暴露介電質材料122/142和閘極介電質136,如圖所示。在一些實施例中,圖27的組件可以用離子佈植技術處理以在移除第二BCP部件306B(例如,PMMA)之前硬化第一BCP部件306A(例如,PS)。在一些實施例中,一或多個合適的選擇性蝕刻技術可以用於移除第二BCP部件306B和第二刷材料304。
圖29顯示了在圖28的組件上沉積遮罩材料308之後的組件。遮罩材料308可包含本文關於遮罩材料108所討論的任何材料(例如,氮化矽、氮氧化矽、氧化鈦、氧化鉿、碳化矽、氧化鋯、碳氧化矽,等)。在一些實施例中,遮罩材料308可以是介電質或金屬氧化物材料。
圖30顯示了在回研磨圖29的組件的遮罩材料308(例如,使用CMP技術),以暴露第一BCP部件306A,接著移除第一BCP部件306A之後的組件。可以使用任何合適的技術來移除BCP部件306A(例如,選擇性蝕刻技術)。如圖30所示,遮罩材料308可以選擇性地覆蓋介電質材料122/142和閘極介電質136,同時使閘極金屬138暴露。
圖31顯示了在圖30的組件上方沉積遮罩材料310,並且在遮罩材料310中形成開口313之後的組件。可以沉積遮罩材料310以在遮罩材料308的頂表面上方延伸。遮罩材料310可以包含適合於根據後續操作進行微影圖案化的任何一或多種材料(例如,遮罩材料310可以是「微影堆疊」)。開口313可以對應於所需的閘極切口314的位置(如下文進一步討論的)。在一些實施例中,開口313可以是大致上矩形,其具有沿著遮罩材料308的縱軸的較小尺寸(如圖31B所示),以及垂直於遮罩材料308的縱軸的較大尺寸(如圖31D所示)。開口313可以透過微影方法形成。
圖32顯示了在根據開口313的圖案而蝕刻圖31的組件的閘極金屬138形成更深的開口,接著用介電質材料312填充所述開口以形成閘極切口314之後的組件。可以使用任何合適的蝕刻和沈積技術。介電質材料312可以包含任何合適的介電質材料(例如,具有或不具有額外的摻雜物材料的碳氮氧化矽)。
圖33顯示了凹陷閘極金屬138(例如,使用一或多個蝕刻技術)以形成圖32的組件中的凹部,接著在凹部中形成閘極接點140之後的組件。閘極接點140可以包含任何一或多種材料(例如,接合襯墊、阻擋襯墊、一或多種填充金屬等)。所得組件可以採用圖1所示的IC結構100的形式。在進一步的實施例中,可以對圖33的組件執行額外的處理操作(例如,形成S/D接點、添加額外的金屬化層等)。
如上所述,在一些實施例中,通道材料106可以具有任何所需的佈置。例如,圖34顯示了IC結構100,其中通道材料106被佈置為鰭,而不是一或多根奈米線;在其它實施例中,通道材料106可以包含鰭和奈米線,或其它佈置。類似於圖34的IC結構100可以適當地使用本文揭露的製造程序來製造(例如,省略「釋放」操作等)。
如上所述,在各個附圖中所描繪的IC結構100中的S/D區128/130的特定佈置是簡單說明性的,並且可以使用任何所需的佈置。例如,圖35描繪了具有不同佈置的S/D區128/130的IC結構100。特別是,圖35的IC結構100可以透過圖案化遮罩材料126/127使得S/D區128和S/D區130之間的邊界在相鄰通道區202之間並平行於相鄰通道區202來製造。S/D區128/130的任何其它所需佈置可以根據本揭露來實現。
如上所述,當DSA技術用於IC結構製造時,未圖案化的IC結構區域可能具有無序層狀結構,這反映了DSA材料的使用沒有足夠的底層刷結構來實現有序層狀結構。圖36是根據各種實施例的可以作為使用DSA技術的結果與IC結構100一起存在的範例無序區320的俯視圖。使用DSA技術對遮罩材料308進行圖案化,如上文參考圖26-31所討論的,可能致使與遮罩材料308共面的無序區320(並且可以與閘極切口314、閘極204、閘極接點140和/或閘極金屬138部分共面,如圖所示)。
特別是,在微電子裝置的「未圖案化」區中存在類似於圖36所述的無序層狀結構可以指示在「圖案化」區的製造期間使用DSA技術。在一些實施例中,類似於圖36中的無序區320可以存在於包含IC結構100的晶粒的過渡區、包含IC結構100的晶粒的保護環,或者包含IC結構100的晶粒的框架(例如,下面參照圖39-40討論的任何晶粒1502)。例如,圖37A是包含圍繞內部區域182的保護環180(例如,用於提供電屏蔽的金屬環)的微電子裝置350(其可以是,例如,晶粒的一部分,如下面參照圖39-40所討論)的俯視圖。圖37B是圖37A的微電子裝置350的側視圖,描繪了在一些實施例中,經圖案化的遮罩材料308可以設置在內部區域182下方(例如,由於內部區域182下方存在本文揭露的IC結構100),而無序區320(例如,包含遮罩材料308的材料和其它材料,諸如閘極切口314的介電質材料312)可以保留在保護環180之下(例如,由於在保護環180之下不存在IC結構100)。在另一個範例中,圖37A是包含由記憶體陣列區域186周圍的周邊區域184包圍的記憶體陣列區域186的微電子裝置350(其可以是,例如,晶粒的一部分,如下面參照圖39-40所討論)的俯視圖。圖37B是圖37A的微電子裝置350的側視圖,描繪了在一些實施例中,經圖案化的遮罩材料308可以設置在記憶體陣列區域186下方(例如,由於在記憶體陣列區域186下方存在本文揭露的IC結構100,作為靜態隨機存取記憶體(SRAM)單元的一部分,或具有其它架構的記憶體單元),而無序區320(例如,包含遮罩材料308的材料和其它材料,諸如閘極切口314的介電質材料312)可以保留在周邊區域184之下(例如,由於在周邊區域184之下不存在IC結構100)。
本文揭露的IC結構100可以包含在任何合適的電子元部中。圖39-43顯示了設備的各種範例,其可以包含本文所揭露的任何IC結構100。
圖39是根據本文揭露的實施例中的任一個的可以包含一或多個IC結構100的晶圓1500和晶粒1502的俯視圖。晶圓1500可以由半導體材料組成,並且可以包含具有形成在晶圓1500的表面上的IC結構(例如,本文所揭露的IC結構100)的一或多個晶粒1502。晶粒1502中的每一個可以是包含任何合適IC的半導體產品的重複單元。在半導體產品的製造完成之後,晶圓1500可以經歷分離程序,其中晶粒1502彼此分離以提供半導體產品的分立「晶片」。晶粒1502可以包含一或多個IC結構100(例如,如下面參考圖40所討論的)、一或多個電晶體(例如,下面參考圖40所討論的電晶體中的一些)和/或支援將電訊號路由到電晶體以及任何其它IC部件的電路。在一些實施例中,晶圓1500或晶粒1502可以包含記憶體裝置(例如,隨機存取記憶體(RAM)裝置,諸如靜態RAM(SRAM)裝置、磁性RAM (MRAM)裝置、電阻式RAM(RRAM)裝置、導電橋接RAM (CBRAM)裝置等)、邏輯裝置(例如AND、OR、NAND或NOR閘),或任何其它合適的電路元件。這些裝置中的多個可在單一晶粒1502上被組合。例如,可以在相同的晶粒1502上形成由多個記憶體裝置形成的記憶體陣列作為處理裝置(例如,圖43的處理裝置1802)或被配置成將資訊儲存在記憶體裝置中或執行儲存在記憶體陣列中的指令的其它邏輯。
圖40是根據本文揭露的任何實施例的可以包含一或多個IC結構100的IC部件1600的側橫截面圖。IC部件1600中的一或多個可以被包含在一或多個晶粒1502中(圖39)。IC部件1600可以被形成在基板1602上(例如,圖39的晶圓1500),並且可以被包含在晶粒中(例如,圖39的晶粒1502)。基板1602可以採用本文揭露的基部102的任何實施例的形式。
IC部件1600可以包含設置在基板1602上的一或多個裝置層1604。裝置層1604可以包含一或多個IC結構100、其它電晶體、二極體或形成在基板1602上的其它裝置的特徵。裝置層1604可以包含,例如,源極和/或汲極(S/D)區、用來控制S/D區之間的電流流動的閘極、用來路由電訊號往/來S/D區的S/D接點,以及用來路由電訊號往/來S/D區的閘極接點(例如,根據以上參考IC結構100討論的任何實施例)。可以包含在裝置層1604中的電晶體不限於任何特定類型或配置,並且可以包含例如平面電晶體、非平面電晶體或兩者的組合中的任何一或多個。平面電晶體可包含雙極接面電晶體(BJT)、異質接面雙極電晶體(HBT)或高電子遷移率電晶體(HEMT)。非平面電晶體可包含FinFET電晶體,諸如雙閘極電晶體或三閘極電晶體,以及環繞式或全環繞式閘極電晶體,諸如奈米帶和奈米線電晶體(例如,如上文參考IC結構100所討論的)。
電子訊號,諸如電力和/或輸入/輸出(I/O)訊號,可以透過設置在裝置層1604上的一或多個互連層(顯示在圖40的互連層1606-1610)被路由往和/或來裝置層1604的裝置(例如,IC結構100)。例如,裝置層1604的導電特徵(例如,閘極接點和S/D接點)可以與互連層1606-1610的互連結構1628電耦接。一或多個互連層1606-1610可形成IC部件1600的金屬化堆疊(也被稱為「ILD堆疊」)1619。儘管圖40描繪了僅在裝置層1604的一個面上的ILD堆疊1619,在其它實施例中,IC部件1600可以包含兩個ILD堆疊1619,使得裝置層1604位於兩個ILD堆疊1619之間。
互連結構1628可以根據各種設計被佈置在互連層1606-1610內,以路由電訊號(特別是,所述佈置不限於圖40中所描繪的互連結構1628的特定配置)。儘管圖40中描繪了特定數量的互連層1606-1610,本揭露的實施例包含具有比所描繪更多或更少互連層的IC部件。
在一些實施例中,互連結構1628可以包含填充有諸如金屬的導電材料的線1628a和/或通孔1628b。線1628a可以被佈置成將電訊號路由在實質上與裝置層1604形成於其上的基板1602的表面平行的平面的方向。例如,線1628a可以在從圖40的觀點進出頁面的方向上路由電訊號。通孔1628b可以被佈置成將電訊號路由在實質上與裝置層1604形成於其上的基板1602的表面垂直的平面的方向。在一些實施例中,通孔1628b可以將不同互連層1606-1610的線1628a電耦接在一起。
互連層1606-1610可以包含設置在互連結構1628之間的介電質材料1626,如圖40所示。在一些實施例中,設置在互連層1606-1610中的不同者的互連結構1628之間的介電質材料1626可以具有不同的成分;在其它實施例中,不同互連層1606-1610之間的介電質材料1626的成分可以是相同的。
第一互連層1606可以形成在裝置層1604之上。在一些實施例中,第一互連層1606可以包含線1628a和/或通孔1628b,如圖所示。第一互連層1606的線1628a可以與裝置層1604的接點(例如,S/D接點或閘極接點)耦接。
第二互連層1608可以形成在第一互連層1606之上。在一些實施例中,第二互連層1608可以包含通孔1628b以將第二互連層1608的線1628a與第一互連層1606的線1628a耦接。雖然為了清楚起見,線1628a和通孔1628b在結構上以每個互連層內(例如,第二互連層1608內)的線劃定,在一些實施例中,線1628a和通孔1628b在結構上和/或材料上可以是連續的(例如,在雙鑲嵌程序期間同時填充)。
第三互連層1610(以及額外的互連層,如需要的話)可以根據關於第二互連層1608或第一互連層1606所描述的類似技術和配置連續地形成第二互連層1608上。在一些實施例中,IC部件1600中的金屬化堆疊1619中「更高」的互連層(也就是說,更遠離裝置層1604)可以更厚。
IC部件1600可包含阻焊材料1634(例如,聚醯亞胺或類似材料)和一或多個形成在互連層1606-1610的導電接點1636。在圖40中,導電接點1636被顯示為採用接合墊的形式。導電接點1636可以與互連結構1628電耦接並且被配置成路由裝置層1604的電訊號到其它外部裝置。例如,可以在一或多個導電接點1636上形成焊料接合以將包含IC部件1600的晶片與另一部件(例如,電路板)機械地和/或電性地耦接。IC部件1600可包含額外的或替代的結構,以路由來自互連層1606-1610的電訊號;例如,導電接點1636可包含其它相似的特徵(例如,柱),其將電訊號路由到外部部件。在IC部件1600在裝置層1604的每個相對面處包含ILD堆疊1619的實施例中,IC部件1600可以包含在每個ILD堆疊1619上的導電接點1636(允許與要製造的IC部件1600在IC部件1600的兩個相對面上的互連)。
圖41是根據本文揭露的任何實施例的可以包含一或多個IC結構100的範例IC封裝1650的側橫截面圖。在一些實施例中,IC封裝1650可以是系統級封裝(SiP)。
封裝基板1652可以由介電質材料(例如,陶瓷、增層膜、其中具有填料顆粒的環氧膜、玻璃、有機材料、無機材料、有機和無機材料的組合、由不同材料形成的嵌入部分)形成,並且可以具有延伸穿過面1672和面1674之間、或面1672上的不同位置之間和/或面1674上的不同位置之間的介電質材料的導電通路。這些導電通路可以採用以上參考圖40討論的任何互連結構1628的形式。
封裝基板1652可以包含透過封裝基板1652被耦接到導電通路(未顯示)的導電接點1663,使晶粒1656內的電路和/或中介層1657電耦接到導電接點1664中之各者。
IC封裝1650可以包含經由中介層1657的導電接點1661、第一級互連1665和封裝基板1652的導電接點1663耦接到封裝基板1652的中介層1657。顯示在圖41中的第一級互連1665是焊料凸塊,但可以使用任何合適的第一級互連1665。在一些實施例中,IC封裝1650中可以不包含中介層1657;相反,晶粒1656可以透過第一級互連1665直接耦接到面1672處的導電接點1663。更一般地,一或多個晶粒1656可以透過任何合適的結構(例如,矽橋、有機橋、一或多個波導、一或多個中介層、佈線接合等)。
IC封裝1650可以包含透過晶粒1656的導電接點1654、第一級互連1658和中介層1657的導電接點1660耦接到中介層1657的一或多個晶粒1656。導電接點1660可以透過中介層1657耦接到導電通路(未顯示),允許晶粒1656內的電路電耦接到導電接點1661中之各者(或包含在中介層1657中的其它裝置,未顯示)。顯示在圖 41中的第一級互連1658是焊料凸塊,但是可以使用任何合適的第一級互連1658。如本文所使用的,「導電接點」可以指用作不同部件之間的介面的導電材料(例如,金屬)的一部分;導電接點可以凹陷進入部件的表面、與部件的表面齊平或遠離部件的表面延伸,並且可以採用任何合適的形式(例如,導電墊或插座)。
在一些實施例中,底部填充材料1666可以被佈置在封裝基板1652和圍繞第一級互連1665的中介層1657之間,而模具化合物1668可以圍繞晶粒1656和中介層1657設置並且與封裝基板1652接觸。在一些實施例中,底部填充材料1666可以與模具化合物1668相同。可用於底部填充材料1666和模具化合物1668的範例材料是環氧樹脂模具材料,視情況而定。第二級互連1670可以耦接到導電接點1664。圖41所示的第二級互連1670是焊球(例如,用於球柵格陣列佈置),但是可以使用任何合適的第二級互連16770(例如,引腳柵格陣列佈置中的引腳或連接盤柵格陣列佈置中的連接盤)。第二級互連1670可用於將IC封裝1650耦接到另一部件,諸如電路板(例如,主機板)、中介層或另一IC封裝,如本領域中已知的並且如下面參考圖42討論的。
晶粒1656可以採取任何本文所討論的晶粒1502的實施例的形式(例如,可以包含IC部件1600的任何實施例)。在IC封裝1650包含多個晶粒1656的實施例中,IC封裝1650可以被稱為多晶片封裝(MCP)。晶粒1656可包含執行任何所需功能的電路。例如,晶粒1656中的一或多個可以是邏輯晶粒(例如,基於矽的晶粒),並且晶粒1656中的一或多個可以是記憶體晶粒(例如,高頻寬記憶體)。在一些實施例中,晶粒1656可以包含一或多個IC結構100(例如,如上面參考圖39和圖40所討論的)。
儘管圖41中所示的IC封裝1650是倒裝晶片封裝,可以使用其它封裝架構。例如,IC封裝1650可以是球柵格陣列(BGA)封裝,如嵌入式晶圓級球柵格陣列(eWLB)封裝。在另一範例中,IC封裝1650可以是晶圓級晶片規模封裝(WLCSP)或面板扇出(FO)封裝。儘管在圖41的IC封裝1650中顯示兩個晶粒1656,IC封裝1650可以包含任何所需數目的晶粒1656。IC封裝1650可以包含額外的被動部件,如設置在封裝基板1652的第一面1672或第二面1674上或中介層1657的一面上的表面安裝電阻器、電容器和電感器。更一般地,IC封裝1650可以包含本領域中已知的任何其它主動或被動部件。
圖42是IC部件組件1700的側橫截面圖,IC部件組件1700可以包含根據本文所揭露的實施例中的任一個的一或多個IC封裝或包含一或多個IC結構100的其它電子部件(例如,晶粒)。IC部件組件1700包含設置在電路板1702(其可以是,例如,主機板)上的多個部件。IC部件組件1700包含設置在電路板1702的第一面1740和電路板1702的相對第二面1742上的部件;通常,部件可以設置在一或多個面1740和1742上。下面參考IC部件組件1700討論的任何IC封裝可以採用上面參考圖41討論的IC封裝1650的任何實施例的形式(例如,可以包含在晶粒中的一或多個IC結構100)。
在一些實施例中,電路板1702可以是包含藉由多層介電質材料彼此分離並且藉由導電通孔相互連接的多個金屬層的印刷電路板(PCB)。可以用所需的電路圖案形成任何一或多個金屬層,以在耦接到電路板1702的部件之間路由電訊號(選擇性地與其它金屬層結合)。在其它實施例中,電路板1702可以是非PCB基板。
圖42中所示的IC部件組件1700包含藉由耦接部件1716耦接到電路板1702的第一面1740的中介層上封裝結構1736。耦接部件1716可以將中介層上封裝結構1736電性地和機械地耦接到電路板1702,並且可包含焊球(如圖42所示)、插座的凸形和凹形部分、黏合劑、底部填充材料和/或任何其它合適的電子和/或機械耦接結構。
中介層上封裝結構1736可以包含藉由耦接部件1718耦接到封裝中介層1704的IC封裝1720。可以針對應用採取任何合適形式的耦接部件1718,諸如上面參考耦接部件1716討論的形式。儘管圖42中顯示單一IC封裝1720,多個IC封裝可以被耦接到封裝中介層1704;實際上,額外的中介層可以被耦接到封裝中介層1704。封裝中介層1704可以提供用於將電路板1702和IC封裝1720橋接的居間基板。IC封裝1720可以例如是或包含晶粒(圖39的晶粒1502)、IC部件(例如,圖40的IC部件1600),或任何其它合適的部件。通常,封裝中介層1704可以將連接擴展到更寬的間距或者將連接重新路由到不同的連接。例如,封裝中介層1704可以將IC封裝1720(例如,晶粒)耦接到耦接部件1716的一組BGA導電接點,以耦接到電路板1702。在圖42所示的實施例中,IC封裝1720和電路板1702附接到封裝中介層1704的相對側;在其它實施例中,IC封裝1720和電路板1702可以附接到封裝中介層1704的同一側。在一些實施例中,三個或更多個部件可以藉由封裝中介層1704的方式互連。
在一些實施例中,封裝中介層1704可以被形成為包含藉由多層介電質材料彼此分離並且藉由導電通孔相互連接的多個金屬層的PCB。在一些實施例中,封裝中介層1704可以由環氧樹脂、玻璃纖維增強環氧樹脂、具有無機填料的環氧樹脂、陶瓷材料或諸如聚醯亞胺的聚合物材料形成。在一些實施例中,封裝中介層1704可以由交替的剛性或柔性材料形成,其可以包含上述用於半導體基板的相同材料,諸如矽、鍺和其它III-V族和IV族材料。封裝中介層1704可以包含金屬線1710和通孔1708,包含但不限於穿矽通孔(TSV)1706。封裝中介層1704還可以包含含有被動與主動裝置兩者的嵌入式裝置1714。這些裝置可以包含但不限於電容器、去耦電容器、電阻器、電感器、熔絲、二極體、變壓器、感測器、靜電放電(ESD)裝置和記憶體裝置。更複雜的裝置,如射頻裝置、功率放大器、電源管理裝置、天線、陣列、感測器、微機電系統(MEMS)裝置也可以形成在封裝中介層1704上。中介層上封裝結構1736可以採用本領域中已知的任何中介層上封裝結構的形式。
IC部件組件1700可以包含藉由耦接部件1722耦接到電路板1702的第一面1740的IC封裝1724。耦接部件1722可以採取任何上面參考耦接部件1716討論的任何實施例的形式,而IC封裝1724可以採用上面參考IC封裝1720討論的任何實施例的形式。
圖42中所示的IC部件組件1700包含藉由耦接部件1728耦接到電路板1702的第二面1742的堆疊式封裝結構1734。堆疊式封裝結構1734可以包含藉由耦接部件1730耦接在一起的IC封裝1726和IC封裝1732,使得IC封裝1726設置在電路板1702和IC封裝1732之間。耦接部件1728和1730可以採用上面討論的耦接部件1716的任何實施例的形式,而IC封裝1726和1732可以採用上面討論的IC封裝1720的任何實施例的形式。可以根據本領域中已知的任何堆疊式封裝結構來配置堆疊式封裝結構1734。
圖43是根據本文揭露的任何實施例的可以包含一或多個IC結構100的範例電子裝置1800的方塊圖。例如,電子裝置1800的任何合適的部件可包含本文揭露的IC部件組件1700、IC封裝1650、IC部件1600或晶粒1502中的一或多個。圖43中顯示如包含在電子裝置1800中的許多部件,但是可以省略或複製這些部件中的任何一或多個,以適合於該應用。在一些實施例中,電子裝置1800中包含的一些或所有部件可以附接到一或多個主機板。在一些實施例中,這些部件中的一些或全部被製造到單一系統單晶片(SoC)晶粒上。
此外,在各種實施例中,電子裝置1800可以不包含圖43中所示的部件中的一或多個,但電子裝置1800可以包含用於耦接到一或多個部件的介面電路。例如,電子裝置1800可以不包含顯示裝置1806,但是可以包含顯示裝置1806可以耦接到的顯示裝置介面電路(例如,連接器和驅動器電路)。在另一組範例中,電子裝置1800可以不包含音訊輸入裝置1824或音訊輸出裝置1808,但是可以包含音訊輸入裝置1824或音訊輸出裝置1808可以耦接到的音訊輸入或輸出裝置介面電路(例如,連接器和支援電路)。
電子裝置1800可以包含處理裝置1802(例如,一或多個處理裝置)。如本文所使用的,用語「處理裝置」或「處理器」可以指處理來自暫存器和/或記憶體的電子資料以將所述電子資料轉換成可以儲存在暫存器和/或記憶體中的其它電子資料的任何裝置或裝置的一部分。處理裝置1802可以包含一或多個數位訊號處理器(DSP)、特殊應用積體電路(ASIC)、中央處理單元(CPU)、圖形處理單元(GPU)、加密處理器(在硬體內執行加密演算法的專用處理器)、伺服器處理器或任何其它合適的處理裝置。電子裝置1800可以包含記憶體1804,其本身可以包含一或多個記憶體裝置,諸如揮發性記憶體(例如,動態隨機存取記憶體(DRAM))、非揮發性記憶體(例如,唯讀記憶體(ROM))、快閃記憶體、固態記憶體和/或硬碟。在一些實施例中,記憶體1804可以包含與處理裝置1802共用晶粒的記憶體。此記憶體可以用作快取記憶體,並且可以包含嵌入式動態隨機存取記憶體(eDRAM)或自旋轉移力矩磁性隨機存取記憶體(STT-MRAM)。
在一些實施例中,電子裝置1800可以包含通訊晶片1812(例如,一或多個通訊晶片)。例如,通訊晶片1812可以被配置用於管理用於向電子裝置1800傳送資料和從電子裝置1800傳送資料的無線通訊。用語「無線」及其衍生詞可以用於描述可以透過非固定媒體使用調變電磁輻射來傳送資料的電路、裝置、系統、方法、技術、通訊通道等。該用語並不暗示相關裝置不包含任何佈線,儘管在一些實施例中它們可能不包含任何佈線。
通訊晶片1812可以實現多種無線標準或協定中的任何一種,包含但不限於電子和電機工程師協會(IEEE)標準,其包含Wi-Fi(IEEE 802.11系列)、IEEE 802.16標準(例如,IEEE 802.16-2005修訂版)、長期演進(LTE)計畫以及任何修訂版、更新版和/或再版(例如,高階LTE計畫、超行動寬帶(UMB)計畫(也稱為「3GPP2」)等)。IEEE 802.16相容寬帶無線存取(BWA)網路通常被稱為WiMAX網路,其代表全球微波連接互通,其是透過IEEE 802.16標準的一致性和互操作性測試的產品的認證符號。通訊晶片1812可以根據全球行動通訊系統(GSM)、通用封包無線電服務(GPRS)、通用行動電信系統(UMTS)、高速封包存取(HSPA)、演進的HSPA(E-HSPA)或LTE網路來操作。通訊晶片1812可以根據用於GSM演進的增強資料(EDGE)、GSM EDGE無線電存取網路(GERAN)、通用地面無線電存取網路(UTRAN)或演進UTRAN(E-UTRAN)來操作。通訊晶片1812可以根據分碼多重存取(CDMA)、分時多重存取(TDMA)、數位增強無線電信(DECT)、演進資料最佳化(EV-DO)及其衍生物,以及被指定為3G、4G、5G及之後的任何其它無線協定。在其它實施例中,通訊晶片1812可以根據其它無線協定來操作。電子裝置1800可以包含天線1822以促進無線通訊和/或接收其它無線通訊(諸如AM或FM無線電傳輸)。
在一些實施例中,通訊晶片1812可以管理有線通訊,諸如電、光或任何其它合適的通訊協定(例如,乙太網路)。如上所述,通訊晶片1812可以包含多個通訊晶片。例如,第一通訊晶片1812可以專用於諸如Wi-Fi或藍牙的短程無線通訊,而第二通訊晶片1812可以專用於諸如全球定位系統(GPS)、EDGE、GPRS、CDMA、WiMAX、LTE、EV-DO或其它的遠程無線通訊。在一些實施例中,第一通訊晶片1812可以專用於無線通訊,而第二通訊晶片1812可以專用於有線通訊。
電子裝置1800可包含電池/電源電路1814。電池/電源電路1814可包含一或多個能量儲存裝置(例如,電池或電容器)和/或用於將電子裝置1800的部件耦接到與電子裝置1800分開的能量源(例如,AC線電源)的電路。
電子裝置1800可包含顯示裝置1806(或對應的介面電路,如上文所討論的)。顯示裝置1806可以包含任何視覺指示器,諸如抬頭顯示器、電腦監視器、投影機、觸控螢幕顯示器、液晶顯示器(LCD)、發光二極體顯示器或平板顯示器。
電子裝置1800可包含音訊輸出裝置1808(或對應的介面電路,如上文所討論的)。音訊輸出裝置1808可以包含產生可聽指示器的任何裝置,諸如揚聲器、頭戴式耳機或耳塞式耳機。
電子裝置1800可包含音訊輸入裝置1824(或對應的介面電路,如上文所討論的)。音訊輸入裝置1824可以包含產生表示聲音的訊號的任何裝置,諸如麥克風、麥克風陣列或數位儀器(例如,具有樂器數位介面(MIDI)輸出的儀器)。
電子裝置1800可以包含GPS裝置1818(或對應的介面電路,如上文所討論的)。GPS裝置1818可以與基於衛星的系統進行通訊,並且可以接收電子裝置1800的位置,如本領域中已知的。
電子裝置1800可包含其它輸出裝置1810(或對應的介面電路,如上文所討論的)。其它輸出裝置1810的範例可以包含音訊編解碼器、視訊編解碼器、印表機、用於向其它裝置提供資訊的有線或無線發送器,或額外的儲存裝置。
電子裝置1800可包含其它輸入裝置1820(或對應的介面電路,如上文所討論的)。其它輸入裝置1820的範例可以包含加速度計、陀螺儀、羅盤、影像拍攝裝置、鍵盤,諸如滑鼠的游標控制裝置、觸控筆、觸控板、條碼讀取器、快速響應(QR)代碼閱讀器、任何感測器或射頻識別(RFID)閱讀器。
電子裝置1800可具有任何所需的形狀因子,諸如手持式或行動電子裝置(例如,蜂巢式電話、智慧型電話、行動網際網路裝置、音樂播放器、平板電腦、膝上型電腦、小筆電、超輕薄筆電、個人數位助理(PDA)、超行動個人電腦等)、桌上型電子裝置、伺服器或其它聯網計算部件、印表機、掃描器、監視器、機上盒、娛樂控制單元、車輛控制單元、數位相機、數位視訊記錄器或可穿戴電子裝置。在一些實施例中,電子裝置1800可以是處理資料的任何其它電子裝置。
下面的段落提供了本文揭露的實施例的各種範例。
範例1為一種積體電路(IC)結構,包含:具有縱軸的第一閘極金屬;第二閘極金屬,其中所述第一閘極金屬的所述縱軸與所述第二閘極金屬的縱軸對齊;所述第一閘極金屬上方的第一閘極接點;所述第二閘極金屬上方的第二閘極接點;以及具有無序層狀圖案的無序區,其中所述無序區與所述第一閘極接點和所述第二閘極接點共面。
範例2包含範例1之標的,並且進一步包含:在所述第一閘極接點和所述第二閘極接點之間的介電質材料,其中所述介電質材料包含在所述無序區中。
範例3包含範例2之標的,並且進一步指定所述介電質材料包含矽。
範例4包含範例3之標的,並且進一步指定所述介電質材料包含氮、氧或碳。
範例5包含範例2-4中任一者之標的,並且進一步指定所述介電質材料包含鈦、鉿或鋯。
範例6包含範例1-5中任一者之標的,並且進一步包含:位於所述第一閘極金屬和所述第二閘極金屬之間的閘極切口介電質材料。
範例7包含範例6之標的,並且進一步指定所述閘極切口介電質材料至少部分地與所述無序區共面。
範例8包含範例6-7中任一者之標的,並且進一步指定所述閘極切口介電質材料包含矽。
範例9包含範例6-8中任一者之標的,並且進一步指定所述閘極切口介電質材料包含氮、氧或碳。
範例10包含範例6-9中任一者之標的,並且進一步指定所述閘極切口介電質材料包含在所述無序區中。
範例11包含範例1-10中任一者之標的,並且進一步指定所述第一閘極金屬與所述第二閘極金屬之間的距離介於10奈米與200奈米之間。
範例12包含範例1-11中任一者之標的,並且進一步指定所述IC結構進一步包含:通道區陣列,包含第一通道區與相鄰的第二通道區,其中所述第一通道區的軸線與所述第二通道區的軸線平行且偏移;靠近所述第一通道區的第一源極/汲極區;靠近所述第二通道區的第二源極/汲極區;以及至少部分位於所述第一源極/汲極區和所述第二源極/汲極區之間的絕緣材料區。
範例13包含範例12之標的,並且進一步指定所述絕緣材料區包含第一絕緣材料和第二絕緣材料,其中所述第一絕緣材料具有U形截面,並且所述第一絕緣材料位於所述第二絕緣材料與所述第一源極/汲極區之間。
範例14包含範例1-13中任一者之標的,並且進一步包含:鰭狀通道區。
範例15包含範例1-11中任一者之標的,並且進一步指定所述第一閘極金屬是記憶體單元中的閘極的一部分。
範例16包含範例1-15中任一者之標的,並且進一步指定所述無序區在所述IC結構的保護環下方。
範例17包含範例16之標的,並且進一步指定所述第一閘極金屬和所述第二閘極金屬不在所述保護環下方。
範例18包含範例1-17中任一者之標的,並且進一步指定所述無序區在記憶體陣列的外圍。
範例19包含範例18之標的,並且進一步指定所述第一閘極金屬和所述第二閘極金屬不在所述記憶體陣列的外圍。
範例20是一種積體電路(IC)結構,包含:具有縱軸的第一閘極接點;第二閘極接點,其中所述第一閘極接點的所述縱軸與所述第二閘極接點的縱軸對齊;以及具有無序層狀圖案的無序區,其中所述無序區與所述第一閘極接點和所述第二閘極接點共面。
範例21包含範例20之標的,並且進一步包含:在所述第一閘極接點和所述第二閘極接點之間的介電質材料,其中所述介電質材料包含在所述無序區中。
範例22包含範例21之標的,並且進一步指定所述介電質材料包含矽。
範例23包含範例22之標的,並且進一步指定所述介電質材料包含氮、氧或碳。
範例24包含範例21-23中任一者之標的,並且進一步指定所述介電質材料包含鈦、鉿或鋯。
範例25包含範例20-24中任一者之標的,並且進一步包含:位於所述第一閘極接點和所述第二閘極接點之間的閘極切口介電質材料。
範例26包含範例25之標的,並且進一步指定所述閘極切口介電質材料包含矽。
範例27包含範例25-26中任一者之標的,並且進一步指定所述閘極切口介電質材料包含氮、氧或碳。
範例28包含範例20-24中任一者之標的,並且進一步指定所述閘極切口介電質材料包含在所述無序區中。
範例29包含範例20-28中任一者之標的,並且進一步指定所述第一閘極接點和所述第二閘極接點之間的距離在10奈米和200奈米之間。
範例30包含範例20-29中任一者之標的,並且進一步指定所述IC結構進一步包含:通道區陣列,包含第一通道區與相鄰的第二通道區,其中所述第一通道區的軸線與所述第二通道區的軸線平行且偏移;靠近所述第一通道區的第一源極/汲極區;靠近所述第二通道區的第二源極/汲極區;以及至少部分位於所述第一源極/汲極區和所述第二源極/汲極區之間的絕緣材料區。
範例31包含範例30之標的,並且進一步指定所述絕緣材料區包含第一絕緣材料和第二絕緣材料,其中所述第一絕緣材料具有U形截面,並且所述第一絕緣材料位於所述第二絕緣材料與所述第一源極/汲極區之間。
範例32包含範例20-31中任一者之標的,並且進一步包含:鰭狀通道區。
範例33包含範例20-32中任一者之標的,並且進一步指定所述第一閘極接點是記憶體單元中的閘極的一部分。
範例34包含範例20-33中任一者之標的,並且進一步指定所述無序區在所述IC結構的保護環下方。
範例35包含範例34之標的,並且進一步指定所述第一閘極接點和所述第二閘極接點不在所述保護環下方。
範例36包含範例20-35中任一者之標的,並且進一步指定所述無序區在記憶體陣列的外圍。
範例37包含範例36之標的,並且進一步指定所述第一閘極接點和所述第二閘極接點不在所述記憶體陣列的外圍。
範例38是一種積體電路(IC)結構,包含:具有縱軸的第一閘極;第二閘極,其中所述第一閘極的所述縱軸與所述第二閘極的縱軸對齊;以及具有無序層狀圖案的無序區,其中所述無序區與所述第一閘極和所述第二閘極共面。
範例39包含範例38之標的,並且進一步包含:在所述第一閘極和所述第二閘極之間的介電質材料,其中所述介電質材料包含在所述無序區中。
範例40包含範例39之標的,並且進一步指定所述介電質材料包含矽。
範例41包含範例40之標的,並且進一步指定所述介電質材料包含氮、氧或碳。
範例42包含範例39-41中任一者之標的,並且進一步指定所述介電質材料包含鈦、鉿或鋯。
範例43包含範例38-42中任一者之標的,並且進一步包含:位於所述第一閘極和所述第二閘極之間的閘極切口介電質材料。
範例44包含範例43之標的,並且進一步指定所述閘極切口介電質材料與所述無序區至少部分共面。
範例45包含範例43-44中任一者之標的,並且進一步指定所述閘極切口介電質材料包含矽。
範例46包含範例43-45中任一者之標的,並且進一步指定所述閘極切口介電質材料包含氮、氧或碳。
範例47包含範例43-46中任一者之標的,並且進一步指定所述閘極切口介電質材料包含在所述無序區中。
範例48包含範例38-47中任一者之標的,並且進一步指定所述第一閘極和所述第二閘極之間的距離在10奈米和200奈米之間。
範例49包含範例38-48中任一者之標的,並且進一步指定所述IC結構進一步包含:通道區陣列,包含第一通道區與相鄰的第二通道區,其中所述第一通道區的軸線與所述第二通道區的軸線平行且偏移;靠近所述第一通道區的第一源極/汲極區;靠近所述第二通道區的第二源極/汲極區;以及至少部分位於所述第一源極/汲極區和所述第二源極/汲極區之間的絕緣材料區。
範例50包含範例49之標的,並且進一步指定所述絕緣材料區包含第一絕緣材料和第二絕緣材料,其中所述第一絕緣材料具有U形截面,並且所述第一絕緣材料位於所述第二絕緣材料與所述第一源極/汲極區之間。
範例51包含範例38-50中任一者之標的,並且進一步指定所述第一閘極是記憶體單元中的閘極。
範例52包含範例38-51中任一者之標的,並且進一步指定所述無序區在所述IC結構的保護環下方。
範例53包含範例52之標的,並且進一步指定所述第一閘極和所述第二閘極不在所述保護環下方。
範例54包含範例38-53中任一者之標的,並且進一步指定所述無序區在記憶體陣列的外圍。
範例55包含範例54之標的,並且進一步指定所述第一閘極和所述第二閘極不在所述記憶體陣列的外圍。
範例56是一種電子組件,包含:包含本文揭露的任何IC結構的晶粒;以及電耦接到所述晶粒的支撐件。
範例57包含範例56之標的,並且進一步指定所述支撐件包含封裝基板。
範例58包含範例56-57中任一者之標的,並且進一步指定所述支撐件包含中介層。
範例59包含範例56-57中任一者之標的,並且進一步指定所述支撐件包含印刷電路板。
範例60包含範例56-59中任一者之標的,並且進一步包含:圍繞所述晶粒和所述支撐件的殼體。
範例61包含範例60之標的,並且進一步指定所述殼體是手持計算裝置殼體。
範例62包含範例60之標的,並且進一步指定所述殼體是伺服器殼體。
範例63包含範例60-62中任一者之標的,並且進一步包含:耦接到所述殼體的顯示器。
範例64包含範例63之標的,並且進一步指定所述顯示器是觸控螢幕顯示器。
100:IC結構 102:基部 104:犧牲材料 106:通道材料 108:遮罩材料 110:介電質材料 112:介電質材料 114:介電質材料 116:遮罩材料 120:介電質材料 122:介電質材料 124:介電質材料 126:遮罩材料 127:遮罩材料 128:源極區 130:汲極區 136:閘極介電質 138:閘極金屬 140:閘極接點 142:介電質材料 154:介電質材料 156:介電質材料 180:保護環 182:內部區域 184:周邊區域 186:記憶體陣列區域 202:通道區 204:閘極 206:裝置區 208:閘極長度 210:寬度 212:通道厚度 214:佈線間距 220:鰭 222:台座 224:開放體積 225:開放體積 302:第一刷材料 304:第二刷材料 306:嵌段共聚物(BCP) 306A:第一BCP部件 306B:第二BCP部件 308:遮罩材料 310:遮罩材料 312:介電質材料 314:閘極切口 350:微電子裝置 1500:晶圓 1502:晶粒 1600:IC部件 1602:基板 1604:裝置層 1606:互連層 1608:互連層 1610:互連層 1619:金屬化堆疊 1626:介電質材料 1628:互連結構 1628a:線 1628b:通孔 1634:阻焊材料 1636:導電接點 1650:IC封裝 1652:封裝基板 1654:導電接點 1656:晶粒 1657:中介層 1658:第一級互連 1660:導電接點 1661:導電接點 1663:導電接點 1664:導電接點 1665:第一級互連 1666:底部填充材料 1668:模具化合物 1670:第二級互連 1672:面 1674:面 1700:IC部件組件 1702:電路板 1704:中介層 1706:穿矽通孔(TSV) 1708:金屬互連 1710:通孔 1714:嵌入式裝置 1716:耦接部件 1718:耦接部件 1720:IC封裝 1722:耦接部件 1724:IC封裝 1726:IC封裝 1728:耦接部件 1730:耦接部件 1732:IC封裝 1734:堆疊式封裝結構 1736:中介層上封裝結構 1740:第一面 1742:第二面 1800:電子裝置 1802:處理裝置 1804:記憶體 1806:顯示裝置 1808:音訊輸出裝置 1810:其它輸出裝置 1812:通訊晶片 1814:電池/電源電路 1818:GPS裝置 1820:其它輸入裝置 1822:天線 1824:音訊輸入裝置
實施例將很容易地透過下面的詳細描述結合附圖被理解。為了便於描述,相似的參考符號表示相似的結構元件。在附圖的圖示中,實施例透過範例的方式顯示,而不是透過限制的方式。
[圖1A-1E]是根據各種實施例的積體電路(IC)結構的橫截面圖。
[圖2A-2D、3A-3D、4A-4D、5A-5D、6A-6D、7A-7D、8A-8D、9A-9D、10A-10D、11A-11D、12A-12D、13A-13D、14A-14D、15A-15D、16A-16D、17A-17D、18A-18D、19A-19D、20A-20D、21A-21D、22A-22D、23A-23D、24A-24D、25A-25D、26A-26D、27A-27D、28A-28D、29A-29D、30A-30D、31A-31D、32A-32D和33A-33E]根據各種實施例的在製造圖1A-1E的IC結構的範例程序中的階段的橫截面圖。
[圖34A-34D]是根據各種實施例的另一IC結構的橫截面圖。
[圖35A-35D]是根據各種實施例的另一IC結構的橫截面圖。
[圖36]是根據各種實施例的可以存在於IC結構中的範例無序區的俯視圖。
[圖37A-37B和38A-38B]顯示了根據各種實施例的範例IC結構佈局。
[圖39]是包含根據本文揭露的任何實施例的IC結構的晶圓和晶粒的俯視圖。
[圖40]是可以包含根據本文揭露的實施例中的任一個的IC結構的IC部件的側橫截面圖。
[圖41]是可以包含根據本文揭露的實施例中的任一個的IC結構的IC封裝的側橫截面圖。
[圖42]是可以包含根據本文揭露的實施例中的任一個的IC結構的IC部件組件的側橫截面圖。
[圖43]是可以包含根據本文揭露的實施例中的任一個的IC結構的範例電子裝置的方塊圖。
100:IC結構
102:基部
110:介電質材料
112:介電質材料
120:介電質材料
122:介電質材料
128:源極區
130:汲極區
142:介電質材料
154:介電質材料
156:介電質材料
206:裝置區
222:台座
308:遮罩材料

Claims (20)

  1. 一種積體電路(IC)結構,包含: 具有縱軸的第一閘極金屬; 第二閘極金屬,其中所述第一閘極金屬的所述縱軸與所述第二閘極金屬的縱軸對齊; 所述第一閘極金屬上方的第一閘極接點; 所述第二閘極金屬上方的第二閘極接點;以及 具有無序層狀圖案的無序區,其中所述無序區與所述第一閘極接點和所述第二閘極接點共面。
  2. 如請求項1的IC結構,進一步包含: 在所述第一閘極接點和所述第二閘極接點之間的介電質材料,其中所述介電質材料包含在所述無序區中。
  3. 如請求項1的IC結構,進一步包含: 位於所述第一閘極金屬和所述第二閘極金屬之間的閘極切口介電質材料。
  4. 如請求項3的IC結構,其中所述閘極切口介電質材料至少部分地與所述無序區共面。
  5. 如請求項3的IC結構,其中所述閘極切口介電質材料包含在所述無序區中。
  6. 如請求項1的IC結構,其中所述第一閘極金屬與所述第二閘極金屬之間的距離介於10奈米與200奈米之間。
  7. 如請求項1至6中任一項的IC結構,其中所述IC結構進一步包含: 通道區陣列,包含第一通道區與相鄰的第二通道區,其中所述第一通道區的軸線與所述第二通道區的軸線平行且偏移; 靠近所述第一通道區的第一源極/汲極區; 靠近所述第二通道區的第二源極/汲極區;以及 至少部分位於所述第一源極/汲極區和所述第二源極/汲極區之間的絕緣材料區。
  8. 如請求項1至6中任一項的IC結構,進一步包含: 鰭狀通道區。
  9. 如請求項1至6中任一項的IC結構,其中所述無序區在所述IC結構的保護環下方。
  10. 如請求項9的積體電路結構,其中所述第一閘極金屬和所述第二閘極金屬不在所述保護環下方。
  11. 如請求項1至6中任一項的IC結構,其中所述無序區在記憶體陣列的外圍。
  12. 如請求項11的積體電路結構,其中所述第一閘極金屬和所述第二閘極金屬不在所述記憶體陣列的所述外圍。
  13. 一種積體電路(IC)結構,包含: 具有縱軸的第一閘極接點; 第二閘極接點,其中所述第一閘極接點的所述縱軸與所述第二閘極接點的縱軸對齊;以及 具有無序層狀圖案的無序區,其中所述無序區與所述第一閘極接點和所述第二閘極接點共面。
  14. 如請求項13的IC結構,進一步包含: 在所述第一閘極接點和所述第二閘極接點之間的介電質材料,其中所述介電質材料包含在所述無序區中。
  15. 如請求項14的IC結構,其中所述介電質材料包含氮、氧或碳。
  16. 如請求項13至15中任一項的IC結構,進一步包含: 位於所述第一閘極接點和所述第二閘極接點之間的閘極切口介電質材料。
  17. 一種積體電路(IC)結構,包含: 具有縱軸的第一閘極; 第二閘極,其中所述第一閘極的所述縱軸與所述第二閘極的縱軸對齊;以及 具有無序層狀圖案的無序區,其中所述無序區與所述第一閘極和所述第二閘極共面或在其上方。
  18. 如請求項17的IC結構,進一步包含: 在所述第一閘極和所述第二閘極之間的介電質材料,其中所述介電質材料包含在所述無序區中。
  19. 如請求項17至18中任一項的IC結構,進一步包含: 在所述第一閘極和所述第二閘極之間的閘極切口介電質材料。
  20. 如請求項19的IC結構,其中所述閘極切口介電質材料至少部分地與所述無序區共面。
TW110130467A 2020-09-25 2021-08-18 積體電路結構中的閘極間距 TW202230722A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/033,228 US12002678B2 (en) 2020-09-25 2020-09-25 Gate spacing in integrated circuit structures
US17/033,228 2020-09-25

Publications (1)

Publication Number Publication Date
TW202230722A true TW202230722A (zh) 2022-08-01

Family

ID=80624204

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110130467A TW202230722A (zh) 2020-09-25 2021-08-18 積體電路結構中的閘極間距

Country Status (3)

Country Link
US (1) US12002678B2 (zh)
DE (1) DE102021121935A1 (zh)
TW (1) TW202230722A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12012473B2 (en) 2020-06-02 2024-06-18 Intel Corporation Directed self-assembly structures and techniques
US20220320337A1 (en) * 2021-03-30 2022-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8083953B2 (en) * 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
JP5254381B2 (ja) 2011-02-23 2013-08-07 株式会社東芝 パターン形成方法
US9054215B2 (en) 2012-12-18 2015-06-09 Intel Corporation Patterning of vertical nanowire transistor channel and gate with directed self assembly
CN103915321A (zh) * 2013-01-06 2014-07-09 中国科学院微电子研究所 半导体结构及其制造方法
JP5802233B2 (ja) 2013-03-27 2015-10-28 株式会社東芝 パターン形成方法
WO2015047320A1 (en) 2013-09-27 2015-04-02 Intel Corporation Self-aligned via and plug patterning for back end of line (beol) interconnects
CN105493249B (zh) 2013-09-27 2019-06-14 英特尔公司 用于后段(beol)互连的先前层自对准过孔及插塞图案化
US9625815B2 (en) 2013-09-27 2017-04-18 Intel Corporation Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
WO2015147843A1 (en) 2014-03-27 2015-10-01 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
US9385026B2 (en) 2014-05-08 2016-07-05 GlobalFoundries, Inc. Sublithographic Kelvin structure patterned with DSA
EP3238247A4 (en) 2014-12-24 2018-08-22 Intel Corporation Novel method for creating alternate hardmask cap interconnect structure with increased overlay margin
CN108012562B (zh) 2015-06-26 2022-03-01 英特尔公司 用于自对准互连件、插塞和过孔的织物式图案化
KR102637883B1 (ko) 2015-12-11 2024-02-19 아이엠이씨 브이제트더블유 기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용
US20180323078A1 (en) 2015-12-24 2018-11-08 Intel Corporation Pitch division using directed self-assembly
US11011463B2 (en) 2016-07-01 2021-05-18 Intel Corporation Dielectric helmet-based approaches for back end of line (BEOL) interconnect fabrication and structures resulting therefrom
US10916499B2 (en) 2016-09-30 2021-02-09 Intel Corporation Vias and gaps in semiconductor interconnects
CN108227412A (zh) 2016-12-15 2018-06-29 Imec 非营利协会 光刻掩模层
WO2018118089A1 (en) * 2016-12-23 2018-06-28 Intel Corporation Differentiated molecular domains for selective hardmask fabrication and structures resulting therefrom
US11417567B2 (en) * 2016-12-23 2022-08-16 Intel Corporation Conductive cap-based approaches for conductive via fabrication and structures resulting therefrom
WO2018169528A1 (en) 2017-03-15 2018-09-20 Intel Corporation Direct self assembly (dsa) processing of vertically stacked devices with self-aligned regions
US10964648B2 (en) 2017-04-24 2021-03-30 International Business Machines Corporation Chip security fingerprint
CN109698238A (zh) * 2017-10-23 2019-04-30 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US10276434B1 (en) 2018-01-02 2019-04-30 International Business Machines Corporation Structure and method using metal spacer for insertion of variable wide line implantation in SADP/SAQP integration
JP7072064B2 (ja) * 2018-03-26 2022-05-19 インテル・コーポレーション デバイス製造のための遷移金属酸化物膜の選択エッチングおよび制御された原子層エッチング
US11404482B2 (en) 2018-06-29 2022-08-02 Intel Corporation Self-aligned repeatedly stackable 3D vertical RRAM
US10515812B1 (en) 2018-08-13 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of reducing pattern roughness in semiconductor fabrication
US11605623B2 (en) 2019-06-28 2023-03-14 Intel Corporation Materials and layout design options for DSA on transition regions over active die

Also Published As

Publication number Publication date
US12002678B2 (en) 2024-06-04
DE102021121935A1 (de) 2022-03-31
US20220102148A1 (en) 2022-03-31

Similar Documents

Publication Publication Date Title
US11573798B2 (en) Stacked transistors with different gate lengths in different device strata
TW202013646A (zh) 裝置層互連
US20200295127A1 (en) Stacked transistors with different crystal orientations in different device strata
US20230073304A1 (en) Gate spacing in integrated circuit structures
US20230317597A1 (en) Integrated circuit structures with contoured interconnects
TW202147515A (zh) 在積體電路結構中之源極/汲極區
TW202230722A (zh) 積體電路結構中的閘極間距
EP3709343A1 (en) Stacked transistors having device strata with different channel widths
TWI788388B (zh) 用於積體電路結構之間隔物的方向性移除
US20220359658A1 (en) Device contact sizing in integrated circuit structures
US11749715B2 (en) Isolation regions in integrated circuit structures
US20220344459A1 (en) Source/drain regions in integrated circuit structures
US20220165867A1 (en) Gradient-doped sacrificial layers in integrated circuit structures
US11450738B2 (en) Source/drain regions in integrated circuit structures
JP2022096593A (ja) マイクロ電子構造体におけるガイドされたビア
US20210305380A1 (en) Device contacts in integrated circuit structures
US20220199420A1 (en) Colored gratings in microelectronic structures
US20210183761A1 (en) Line patterning in integrated circuit devices
US20210193802A1 (en) Pn-body-tied field effect transistors