CN105515450A - 具有增强射频及温度均匀性的静电夹盘 - Google Patents

具有增强射频及温度均匀性的静电夹盘 Download PDF

Info

Publication number
CN105515450A
CN105515450A CN201610056402.2A CN201610056402A CN105515450A CN 105515450 A CN105515450 A CN 105515450A CN 201610056402 A CN201610056402 A CN 201610056402A CN 105515450 A CN105515450 A CN 105515450A
Authority
CN
China
Prior art keywords
esc
power
resistance type
pixelation
heater
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610056402.2A
Other languages
English (en)
Other versions
CN105515450B (zh
Inventor
D·卢博米尔斯基
J·Y·孙
M·马尔科夫斯基
K·马赫拉切夫
D·A·小布齐伯格
S·巴纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105515450A publication Critical patent/CN105515450A/zh
Application granted granted Critical
Publication of CN105515450B publication Critical patent/CN105515450B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S269/00Work holders
    • Y10S269/903Work holder for electrical circuit assemblages or wiring systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

描述具有射频(RF)及温度均匀性的静电夹盘(ESC)。例如,ESC包括顶部介电层。上金属部设置于该顶部介电层之下。第二介电层设置于多个像素化的电阻式加热器之上,且所述第二介电层部分地由该上金属部所围绕。第三介电层设置于该第二介电层之下,在该第三介电层与该第二介电层之间具有边界。多个通孔设置于该第三介电层中。总线条功率分配层设置于该等多个通孔之下并且耦接于该等多个通孔。第四介电层设置于该总线条功率分配层之下,在该第四介电层与该第三介电层之间具有边界。金属底座设置于该第四介电层之下。该金属底座包括多个高功率加热器组件容纳在该金属底座中。

Description

具有增强射频及温度均匀性的静电夹盘
本申请是申请日为2013年4月23日、申请号为201380021270.4、题为“具有增强射频及温度均匀性的静电夹盘”的发明专利申请的分案申请。
相关申请的交叉引用
本申请案主张2012年4月24日所申请的美国临时申请案第61/637,500号以及2013年3月8日所申请的美国临时申请案第61/775,372号的利益,该等申请案全部内容在此以引用的方式并入本文。
背景
1)领域
本发明的实施例关于半导体处理设备的领域,且具体地,关于具有增强射频及温度均匀性的静电夹盘,以及制造此种静电夹盘的方法。
2)相关技术描述
在等离子体处理腔室中,例如等离子体蚀刻或等离子体沉积腔室,腔室组件的温度通常是在处理期间要控制的重要参数。例如,基板夹具(通常称为夹盘或托架)的温度可受控制,以在处理制作方法期间将工件加热/冷却至各种受控的温度(例如为了控制蚀刻速率)。类似的,喷头/上电极、腔室衬垫、挡板、工艺配套组件、或其它组件的温度在处理制作方法期间也可受控制,以影响处理。传统上,散热器及/或热源耦接于处理腔室,以维持腔室组件的温度在所欲的温度。通常,热耦接于腔室组件的至少一热转移流体回路用来提供加热及/或冷却功率。
热转移流体回路中的长接线长度,以及与此种长接线长度有关的大的热转移流体体积对于温度控制反应时间是有害的。重点使用(Point-of-use)系统是用以减少流体回路长度/体积的一种机构。但是,物理空间局限不利地限制了此种重点使用系统的功率负载。
随着等离子体处理趋势持续地增加RF功率位准而且也增加工件直径(现在通常具有300mm,且现在正在发展450mm系统),满足快速反应时间与高功率负载两者的温度及/或RF控制与分配,在等离子体处理领域中是有利的。
附图简述
图1根据本发明的实施例例示静电夹盘(ESC)的部分的横剖面视图,静电夹盘配置来支撑晶圆或基板。
图2根据本发明的另一实施例例示各种静电夹盘的部分的横剖面视图,静电夹盘配置来支撑晶圆或基板。
图3根据本发明的另一实施例例示静电夹盘的部分的横剖面视图,静电夹盘配置来支撑晶圆或基板。
图4根据本发明的另一实施例例示静电夹盘的部分的横剖面视图,静电夹盘配置来支撑晶圆或基板。
图5A根据本发明的另一实施例例示静电夹盘的部分的横剖面视图,重点突出了等离子体喷涂配置,静电夹盘配置来支撑晶圆或基板。
图5B根据本发明的另一实施例例示静电夹盘的部分的横剖面视图,重点突出了固体陶瓷顶部配置,静电夹盘配置来支撑晶圆或基板。
图6是根据本发明的各种实施例的电性方块图,包括了用于静电夹盘(ESC)的电阻式辅助加热器的12x13配置。
图7根据本发明的实施例例示系统,在该系统中可容纳具有增强射频及温度均匀性的静电夹盘。
图8根据本发明的实施例例示示例性计算机系统的方块图。
详细描述
叙述了具有增强射频(RF)及温度均匀性的静电夹盘,以及制造此种静电夹盘的方法。在下面的叙述中,提出多种具体细节,例如具体的夹盘材料规范,以提供本发明的实施例的通盘了解。对于本领域技术人员将是显而易知的,本发明的实施例可不用这些具体细节来实践。在其它例子中,熟知的方面,例如夹盘所支撑的晶圆存在时的蚀刻处理,并未详细叙述,以避免不必要地模糊本发明的实施例。另外,可了解到,附图中显示的各种实施例是例示表示,且不需要依尺寸绘制。
在此所述的一或更多个实施例是关于具有增强射频及温度均匀性的静电夹盘,或关于包括具有增强射频及温度均匀性的静电夹盘的系统。
为了提供文章上下文,藉由静电夹盘的晶圆夹持已经在蚀刻处理期间用来提供温度控制。取决于应用,晶圆被夹持至具有散热器或加热器(或两者)的陶瓷或多层表面。因为固有的不均匀性与辅助硬件(例如,升举销、RF/DC电极等),陶瓷表面温度并非均匀。此不均匀性会转移给晶圆,影响蚀刻处理。传统的夹盘设计是注重在冷却剂布局最佳化以及引入多个(多达4区)加热器。此种夹盘设计对于解决与辅助硬件(例如,升举销、RF/DC电极等)相关的问题或由辅助硬件所导致的问题并没有用。
在实施例中,为了解决利用传统方法的上述问题,叙述了具有极佳温度均匀性的下一代(超过4区)蚀刻腔室ESC。在实施例中,如同下面更详细叙述的,在此所述的夹盘可以达成多个热要求,包括一或更多个Al2O3型的12英寸定位盘、温度性能高达130C、利用等离子体在摄氏65/65/45度时温度均匀性≦0.5C。在此所述的实施例是关于具有主动温度控制的下一代蚀刻腔室ESC。
根据本发明的各种实施例,图1-5A与图5B绘示静电(ESC)结构或其部分。
参见图1,ESC100配置来支撑晶圆或基板102。ESC的框架104可包括例如铝。等离子体喷涂涂层106(例如陶瓷层)是包括在框架104的各种表面上。包括主要加热器108,以及辅助加热器110。
参见图2,如同横剖面透视图所示,ESC部分200配置来支撑晶圆或基板202。陶瓷层204(在该陶瓷层204上将放置晶圆或基板202)设置于多个电阻式加热器元件206上(举例来说),且陶瓷层204由黏着层208固持在适当位置处。金属底座210支撑多个电阻式加热器元件206,并且可为RF加热的。也可包括选择性的夹盘电极212,如同图2所绘示。
再次参见图2,ESC的部分220具有固体陶瓷板材221,如同横剖面透视图所示,ESC的部分220是提供来例示ESC内的RF路径222与224。RF路径242另外例示在ESC的部分240B中(部分240B也可配置成如同240A所示),同样也如同图2的横剖面透视图所示。可了解到,在某些实施例中,所示的ESC部分220、240A与240B可配置成具有仅仅固体陶瓷板材的配置(如同所示),或者可包括等离子体喷涂涂层,等离子体喷涂涂层上黏着有固体陶瓷板材,如同下面相关于图5B所更详细叙述的。
参见图3,如同横剖面透视图所示,ESC300配置来支撑晶圆或基板302。介电层304(例如等离子体喷涂介电层)提供一支撑,在支撑上放置晶圆或基板302。开放区域306提供冷却通道,例如用于背侧的氦(He)冷却。介电层304设置于上金属部308之上,例如,上金属部308可提供用于RF波的波导。介电层310(例如等离子体喷涂或弧氧化层)设置于多个像素化的电阻式加热器312之上,且介电层310部分由上金属部308所围绕。额外的介电层314设置于介电层310之下,在介电层314与介电层310之间具有边界316。包括有通孔318,来将多个像素化的电阻式加热器312耦接至总线条功率分配层320。介电层322设置于总线条功率分配层320之下,在介电层314与介电层322之间具有边界324。上述特征设置于金属底座326之上。金属底座326容纳高功率加热器元件或升能器328。也可包括焊接的底部板材330,如同图3所绘示。
根据本发明的实施例,静电夹盘(ESC)具有一或更多个(高达8个)主要加热器,以提供基线温度控制。为了提供温度分配的微调,大量的辅助加热器设置于ESC表面的附近。为了减少RF相关的不均匀性,所有加热器都位于铝笼内,铝笼同时作用为RF屏蔽与RF输送路径。因此,在实施例中,可以达成具有改良的射频均匀性及/或改良的温度均匀性的蚀刻处理。
在特定实施例中,在此所述的夹盘可以达成多个温度均匀性要求,包括下述的一或更多个:(1)对于加热器布局:利用4区加热器设计来解决多个阶段之间的处理温度斜线变化、RF耦接;(2)对于机台匹配:传统的ESC/喷头/边缘HW中的微妙改变会导致局部的热/冷点与多阵列,从45个到高达169个均等的加热器是另外需要来匹配机台之间的温度不均匀。
在实施例中,相关于图3所述的ESC300可藉由先安装高功率加热器元件或升能器328进入金属底座326中来加以制造。底部板材330之后焊接至定位。介电层322之后藉由例如等离子体喷涂或弧氧化方法来加以沉积。金属层之后藉由例如网印来形成,以提供总线条功率分配层320,总线条功率分配层320可传送电流至像素化的电阻式加热器312。之后沉积介电层314,以覆盖介电层324。通孔洞之后形成在介电层314中,以曝露总线条功率分配层320。之后执行金属沉积来充填通孔洞,形成通孔318。替代地,通孔318可在形成像素化的电阻式加热器312的同时被充填。之后沉积介电层310,随后沉积上金属部308。上金属部308被形成来提供金属底座的边缘。之后形成介电层304,以覆盖所有上述的层。选择性地,多个特征可机械加工进入介电层304中,以修改与ESC300的晶圆接口。
参见图4,如同横剖面透视图所示,ESC部分400配置来支撑晶圆或基板。ESC400的顶部介电层或特征可藉由例如包括沉积的介电层(例如Al2O3)402A来提供,例如藉由等离子体喷涂来包括介电层402A。替代地,或额外地,可包括介电板材402B(例如Al2O3板材)。两种选择都绘示在图4中。金属底座404(例如铝(Al)底座)包括在介电层402A及/或介电板材402B之下。狭缝406可包括在金属底座404中,以提供热中断。缆线加热器408可容纳在金属底座404中。金属底座404可另外包括到冷却底座的路径,如同图4所绘示。
根据本发明的实施例,图5A绘示ESC部分500A,如同横剖面透视图所示,重点突出了等离子体喷涂配置。ESC部分500A包括金属底座部分502,例如铝底座,在金属底座部分502上设置有等离子体喷涂介电层504。等离子体喷涂层可包括介电材料,例如(但不限于)氧化铝(Al2O3)、氧化钇(Y2O3)或高性能材料(HPM,highperformancematerial)。多孔插座506设置于金属底座部分502中,且多孔插座506提供用于晶圆或基板冷却的路径508,例如藉由氦流动来冷却。路径508设置通过等离子体喷涂介电层504。
根据本发明的实施例,图5B绘示ESC部分500B,如同横剖面透视图所示,重点突出了固体陶瓷顶部配置。ESC部分500B包括金属底座部分552,例如铝底座。固体陶瓷顶部554(例如Al2O3板材)设置于金属底座部分552之上。在一实施例中,固体陶瓷顶部554设置于等离子体喷涂介电层560之上,如同图5B所绘示。等离子体喷涂介电层560可包括介电材料,例如(但不限于)氧化铝(Al2O3)、氧化钇(Y2O3)或高性能材料(HPM)。在该实施例中,固体陶瓷顶部554可藉由黏着层562而耦接于等离子体喷涂介电层560。多孔插座556设置于金属底座部分552中,且多孔插座556提供用于晶圆或基板冷却的路径558,例如藉由氦流动来冷却。路径558设置通过固体陶瓷顶部554,以及等离子体喷涂介电层560(如果存在的话)。
在实施例中,在此所述的夹盘的机械方面包括ESC本身、重新设计给额外的24-26个滤波器的阴极组件、电性与RF滤波器、至辅助加热器的功率传送系统。在实施例中,在此所述的夹盘的通讯/切换逻辑方面包括与现有硬件的接口。在实施例中,在此所述的夹盘的软件方面包括与I-4温度数据的接口,及/或与电性次组件的通讯。在实施例中,在此所述的夹盘的主要加热器包括双区加热器。在实施例中,在此所述的夹盘的功率要求利用辅助加热器来解决。
在实施例中,在此所述的夹盘的ESC型方面包括一或更多个下述:库伦的(coulombic)、大约92%的铝复合物、薄陶瓷、可能是可交换/可消耗、接地的冷却板材(具有RF加热的夹持电极及/或印刷RF电极)。在实施例中,最大RF功率的规格是最大大约2kW且大约13.56MHz。在实施例中,最大氦气压力的规格是大约10Torr。在实施例中,针对引脚至电极接口,RF电流限制要符合每一引脚大约20A。在实施例中,内部/外侧加热器电阻大约在90C,130C,25A,160V,150C(内部)13A,150V,150C(外侧)。
在实施例中,在此所述的夹盘的辅助加热器包括大约45个加热器,且最高达144-169个(12x12或13x13的配置)。以大约92%铝、最小局部1C加热、最大4℃加热以及45个加热器的加热器的评估功率是大约3W(高纯度为4W),因为加热器之间有6℃差异。在实施例中,反馈包括2个传感器,来用于双区主要加热器。在实施例中,RF滤波是根据每个加热器平均3W、DC294V、169个加热器(~168Ω)总共1.75Amp。作为范例,图6为根据本发明的实施例的电性方块图600。参见图6,提供电阻式辅助加热器的12x13配置602作为范例。
具有增强射频及温度均匀性的静电夹盘可包括在适于提供蚀刻等离子体至用于蚀刻的样本附近的处理设备中。例如,根据本发明的实施例,图7例示一种系统,该系统中可容纳具有增强射频及温度均匀性的静电夹盘。
参见图7,用于实行等离子体蚀刻处理的系统700包括腔室702,腔室702配备有样本夹具704。排气装置706、气体进入装置708、与等离子体点火装置710耦接于腔室702。运算装置712耦接于等离子体点火装置710。系统700可额外包括耦接于样本夹具704的电压源714以及耦接于腔室702的侦测器716。运算装置712也可耦接于排气装置706、气体进入装置708、电压源714以及侦测器716,如同图7所绘示。
腔室702与样本夹具704可包括反应腔室与样本定位装置,反应腔室适于包含离子化气体(亦即等离子体),且样本定位装置将样本带至靠近于离子化气体或从离子化气体射出的带电种。排气装置706可为适于将腔室702排气与去压力的装置。气体进入装置708可为适于将反应气体射入腔室702中的装置。等离子体点火装置710可为适于将从反应气体获得的等离子体加以点火的装置,反应气体是由气体进入装置708射入至腔室702中。侦测装置716可为适于侦测处理操作的结束点的装置。在一实施例中,系统700包括腔室702、样本夹具704、排气装置706、气体进入装置708、等离子体点火装置710以及侦测器716,相似于或相同于AppliedAdvantEdge系统上所使用的导体蚀刻腔室或相关腔室。
本发明的实施例可提供作为计算机程序产品或软件,计算机程序产品或软件可包括机器可读取媒体,机器可读取媒体上面有储存指令,该等指令可用于编程计算机系统(或其它电子装置),以执行根据本发明的程序。机器可读取媒体包括任何用于以机器(例如计算机)可读取的形式来储存或传送信息的机制。例如,机器可读取(例如,计算机可读取)媒体包括机器(例如计算机)可读取储存媒体(例如,只读存储器(ROM)、随机存取存储器(RAM)、磁盘储存媒体、光学储存媒体、闪存装置等)、机器(例如计算机)可读取传送媒体(电性、光学、声学或其它形式的传送信号(例如,红外线信号、数字信号等))等。
图8例示以计算机系统800的示例性形式的机器的图标表示,在机器内可执行指令集,以使机器执行在此所述的任何一或更多个方法。在替代的实施例中,机器可连接至(例如以网络连接至)局域网络(LAN,LocalAreaNetwork)、内联网络、外联网络、或因特网中的其它机器。该机器可操作有在客户端-服务器网络环境中的服务器或客户端机器的性能,或者操作作为对等(或分布式)网络环境中的对等方机器。该机器可为个人计算机(PC)、平板PC、机上盒(STB,set-topbox)、个人数字助理(PDA)、手机、上网设备、服务器、网络路由器、交换器或桥接器、或者任何可以(序列地或其它方式)执行指令集的机器,该指令集界定该机器所进行的动作。另外,虽然仅例示单一机器,用语“机器”也应该当成是包括任何大量的机器(例如计算机),该等机器个别地或联合地执行指令集(或多个指令集),以执行在此所述的任何一或更多个方法。在一实施例中,计算机系统800适于使用作为相关于图7所述的运算装置712。
示例性计算机系统800包括处理器802、主要存储器804(例如,只读存储器(ROM)、闪存、动态随机存取存储器(DRAM)(例如同步DRAM(SDRAM)或RambusDRAM(RDRAM)等)、静态存储器806(例如,闪存、静态随机存取存储器(SRAM)等)、以及次要存储器818(例如,数据储存装置),这些组件透过总线830来彼此通讯。
处理器802代表一或更多个通用目的处理装置,例如微处理器、中央处理单元、或类似者。更具体地,处理器802可为复杂指令集运算(CISC,complexinstructionsetcomputing)微处理器、精简指令集运算(RISC,reducedinstructionsetcomputing)微处理器、超长指令字(VLIW,verylonginstructionword)微处理器、实施其它指令集的处理器、或实施多个指令集的组合的处理器。处理器802也可为一或更多个特殊目的处理装置,例如专用集成电路(ASIC)、现场可编程门阵列(FPGA)、数字信号处理器(DSP)、网络处理器、或类似者。处理器802是配置来执行用于执行在此所述的操作的处理逻辑826。
计算机系统800可另外包括网络接口装置808。计算机系统800也可包括视频显示单元810(例如,液晶显示器(LCD)或阴极射线管(CRT))、文字数字输入装置812(例如键盘)、光标控制装置814(例如鼠标)、以及信号产生装置816(例如扬声器)。
次要存储器818可包括机器可存取储存媒体(或更具体地,计算机可读取储存媒体)831,机器可存取储存媒体831上面储存有一或更多个指令集(例如软件822),该等指令集可体现在此所述的任何一或更多个方法或功能。在计算机系统800执行软件822的期间,软件822也可(全部或至少部分地)储存在主要存储器804及/或处理器802内,主要存储器804及处理器802也构成机器可读取储存媒体。软件822可另外透过网络接口装置808经由网络820来传送或接收。
虽然机器可存取储存媒体831在示例性实施例中是显示为单一媒体,用语“机器可读取储存媒体”应该当成是包括储存有一或更多个指令集的单一媒体或多个媒体(例如,集中式或分布式数据库,及/或相关的高速缓存器与服务器)。用语“机器可读取储存媒体”也应该当成是包括可以储存或编码指令集来给机器执行,并且导致机器执行本发明的任何一或更多个方法的任何媒体。用语“机器可读取储存媒体”因此应该当成是包括(但不限于)固态存储器、以及光学与磁性媒体。
因此,已经揭露具有增强射频及温度均匀性的静电夹盘,以及制造此种静电夹盘的方法。在实施例中,具有增强射频及温度均匀性的静电夹盘(ESC)包括顶部介电层。上金属部设置于该顶部介电层之下。第二介电层设置于多个像素化的电阻式加热器之上,且该第二介电层部分地由上金属部所围绕。第三介电层设置于第二介电层之下,在第三介电层与第二介电层之间具有边界。多个通孔设置于第三介电层中。总线条功率分配层设置于多个通孔之下并且耦接于多个通孔。多个通孔将多个像素化的电阻式加热器耦接于总线条功率分配层。第四介电层设置于总线条功率分配层之下,在第四介电层与第三介电层之间具有边界。金属底座设置于第四介电层之下。金属底座包括多个高功率加热器元件容纳在该金属底座中。

Claims (20)

1.一种控制基板的温度的方法,所述方法包括以下步骤:
将功率提供至一个或多个高功率加热器,所述一个或多个高功率加热器被包括在支撑所述基板的静电夹盘(ESC)中;
将功率提供至多个像素化的电阻式加热器中的一个或多个像素化的电阻式加热器,所述多个像素化的电阻式加热器被包括在所述ESC中;以及
当将功率提供至所述一个或多个高功率加热器以及提供至所述多个像素化的电阻式加热器中的所述一个或多个像素化的电阻式加热器时,在包括所述ESC的腔室中处理所述基板。
2.如权利要求1所述的方法,其特征在于,将功率提供至所述多个像素化的电阻式加热器中的所述一个或多个像素化的电阻式加热器的步骤包括以下步骤:将功率提供至所述ESC的总线条功率分配层。
3.如权利要求1所述的方法,其特征在于,所述多个像素化的电阻式加热器包括在45个与169个之间的像素化的电阻式加热器。
4.如权利要求1所述的方法,其特征在于,将功率提供至所述一个或多个高功率加热器的步骤包括以下步骤:将功率提供至在1个与约8个之间的高功率加热器。
5.如权利要求1所述的方法,其特征在于,在所述腔室中处理所述基板的步骤包括以下步骤:在等离子体蚀刻腔室中处理所述基板。
6.如权利要求1所述的方法,其特征在于,在所述腔室中处理所述基板的步骤包括以下步骤:在等离子体沉积腔室中处理所述基板。
7.如权利要求1所述的方法,其特征在于,处理所述基板的步骤包括以下步骤:使用夹盘电极将所述基板固持至所述ESC。
8.如权利要求1所述的方法,其特征在于,将功率提供至所述多个像素化的电阻式加热器中的所述一个或多个像素化的电阻式加热器的步骤包括以下步骤:将功率提供至设置在所述基板与所述ESC的所述一个或多个高功率加热器之间的像素化的电阻式加热器。
9.如权利要求1所述的方法,其特征在于,进一步包括以下步骤:
当在所述腔室中处理所述基板时,冷却所述ESC的多个区域。
10.一种静电夹盘(ESC),包括:
顶部介电层,所述顶部介电层用于支撑所述顶部介电层上方的基板;
多个像素化的电阻式加热器,所述多个像素化的电阻式加热器设置在所述顶部介电层下方,所述多个像素化的电阻式加热器包括在45个与169个之间的像素化的电阻式加热器;以及
一个或多个高功率加热器,所述一个或多个高功率加热器设置在所述多个像素化的电阻式加热器下方。
11.如权利要求10所述的ESC,其特征在于,所述顶部介电层包括设置在所述顶部介电层上的多个表面特征。
12.如权利要求11所述的ESC,其特征在于,所述顶部介电层的所述表面特征提供用于所述ESC的冷却通道。
13.如权利要求10所述的ESC,其特征在于,所述顶部电介层包括喷涂介电材料。
14.如权利要求10所述的ESC,其特征在于,所述顶部介电层用于在所述顶部介电层上直接支撑所述基板。
15.如权利要求10所述的ESC,其特征在于,进一步包括:
固体陶瓷板材,所述固体陶瓷板材设置在所述顶部介电层上。
16.如权利要求15所述的ESC,其特征在于,所述固体陶瓷板材被配置来支撑所述固体陶瓷板材上的所述基板。
17.如权利要求10所述的ESC,其特征在于,进一步包括:
夹持电极,所述夹持电极用于将所述基板夹持至所述ESC。
18.如权利要求10所述的ESC,其特征在于,进一步包括:
总线条功率分配层,所述总线条功率分配层用于将功率提供至所述多个像素化的电阻式加热器。
19.一种等离子体蚀刻腔室,包括如权利要求10所述的ESC。
20.一种等离子体沉积腔室,包括如权利要求10所述的ESC。
CN201610056402.2A 2012-04-24 2013-04-23 具有增强射频及温度均匀性的静电夹盘 Active CN105515450B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201261637500P 2012-04-24 2012-04-24
US61/637,500 2012-04-24
US201361775372P 2013-03-08 2013-03-08
US61/775,372 2013-03-08
US13/867,515 US8937800B2 (en) 2012-04-24 2013-04-22 Electrostatic chuck with advanced RF and temperature uniformity
US13/867,515 2013-04-22
CN201380021270.4A CN104247002B (zh) 2012-04-24 2013-04-23 具有增强射频及温度均匀性的静电夹盘

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201380021270.4A Division CN104247002B (zh) 2012-04-24 2013-04-23 具有增强射频及温度均匀性的静电夹盘

Publications (2)

Publication Number Publication Date
CN105515450A true CN105515450A (zh) 2016-04-20
CN105515450B CN105515450B (zh) 2020-02-18

Family

ID=49379898

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201610056402.2A Active CN105515450B (zh) 2012-04-24 2013-04-23 具有增强射频及温度均匀性的静电夹盘
CN201380021270.4A Active CN104247002B (zh) 2012-04-24 2013-04-23 具有增强射频及温度均匀性的静电夹盘

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201380021270.4A Active CN104247002B (zh) 2012-04-24 2013-04-23 具有增强射频及温度均匀性的静电夹盘

Country Status (6)

Country Link
US (1) US8937800B2 (zh)
JP (2) JP5938140B2 (zh)
KR (3) KR101584538B1 (zh)
CN (2) CN105515450B (zh)
TW (1) TWI509732B (zh)
WO (1) WO2013163220A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110226222A (zh) * 2017-01-20 2019-09-10 应用材料公司 具有射频隔离式加热器的静电吸盘
CN113130279A (zh) * 2019-12-30 2021-07-16 中微半导体设备(上海)股份有限公司 下电极组件、等离子体处理装置及其工作方法

Families Citing this family (296)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9129911B2 (en) 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
WO2014164910A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc. Multi zone heating and cooling esc for plasma process chamber
US10332772B2 (en) 2013-03-13 2019-06-25 Applied Materials, Inc. Multi-zone heated ESC with independent edge zones
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
WO2015192256A1 (en) 2014-06-17 2015-12-23 Evatec Ag Electro-static chuck with radiofrequency shunt
CN106471609B (zh) 2014-07-02 2019-10-15 应用材料公司 用于使用嵌入光纤光学器件及环氧树脂光学散射器的基板温度控制的装置、系统与方法
CN106971964A (zh) 2014-07-23 2017-07-21 应用材料公司 可调谐温度受控的基板支撑组件
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
KR102430454B1 (ko) 2014-08-15 2022-08-05 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 강화 화학 기상 증착 시스템에서 높은 온도들로 압축 또는 인장 응력을 갖는 웨이퍼들을 프로세싱하는 방법 및 장치
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10002782B2 (en) * 2014-10-17 2018-06-19 Lam Research Corporation ESC assembly including an electrically conductive gasket for uniform RF power delivery therethrough
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102288349B1 (ko) 2014-12-09 2021-08-11 삼성디스플레이 주식회사 정전 척 시스템과, 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
JP5962833B2 (ja) * 2015-01-16 2016-08-03 Toto株式会社 静電チャック
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102308906B1 (ko) 2015-03-26 2021-10-06 삼성디스플레이 주식회사 정전 척 시스템과, 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR20180011119A (ko) * 2015-05-22 2018-01-31 어플라이드 머티어리얼스, 인코포레이티드 방위방향으로 튜닝가능한 다중-구역 정전 척
US9779974B2 (en) * 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck
JP2017028111A (ja) * 2015-07-23 2017-02-02 株式会社日立ハイテクノロジーズ プラズマ処理装置
TWI703671B (zh) * 2015-08-06 2020-09-01 美商應用材料股份有限公司 螺接式晶圓夾具熱管理系統及用於晶圓處理系統的方法
US9691645B2 (en) * 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10186437B2 (en) * 2015-10-05 2019-01-22 Lam Research Corporation Substrate holder having integrated temperature measurement electrical devices
US20180313697A1 (en) * 2015-10-19 2018-11-01 Novena Tec Inc. Process monitoring device
KR20180093966A (ko) * 2015-12-10 2018-08-22 아이오니어 엘엘씨 프로세스 동작의 파라미터들을 결정하기 위한 장치 및 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
JP6238097B1 (ja) * 2016-07-20 2017-11-29 Toto株式会社 静電チャック
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10685861B2 (en) 2016-08-26 2020-06-16 Applied Materials, Inc. Direct optical heating of substrates through optical guide
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
JP6858656B2 (ja) * 2017-06-26 2021-04-14 東京エレクトロン株式会社 給電部材及び基板処理装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
CN111213230B (zh) 2017-10-26 2023-10-10 京瓷株式会社 试料保持器具
KR101957784B1 (ko) 2017-11-10 2019-03-13 이성희 시합용 전자 호구의 운용 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11004710B2 (en) * 2019-06-04 2021-05-11 Applied Materials, Inc. Wafer placement error detection based on measuring a current through an electrostatic chuck and solution for intervention
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
KR20210144333A (ko) * 2020-05-22 2021-11-30 세메스 주식회사 정전 척과 그 제조 방법 및 기판 처리 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
KR102368832B1 (ko) * 2021-07-08 2022-03-02 에이피티씨 주식회사 다중 가열 영역 구조의 정전 척
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101465285A (zh) * 2007-12-21 2009-06-24 新光电气工业株式会社 调节和固定基板温度的装置
US20100156055A1 (en) * 2008-12-24 2010-06-24 Shinko Electric Industries Co., Ltd. Substrate temperature control fixing apparatus
US20110092072A1 (en) * 2009-10-21 2011-04-21 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
CN102473672A (zh) * 2009-07-30 2012-05-23 朗姆研究公司 静电夹头中的点火防止

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ES2086429T3 (es) * 1990-04-20 1996-07-01 Applied Materials Inc Mecanismo de sujecion para la deposicion en fase de vapor por proceso fisico.
JP2005260251A (ja) * 1999-04-06 2005-09-22 Tokyo Electron Ltd 載置台、プラズマ処理装置、および載置台の製造方法
JP3396468B2 (ja) * 1999-10-26 2003-04-14 イビデン株式会社 ウエハプローバおよびウエハプローバに使用されるセラミック基板
WO2002089531A1 (en) * 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
JP2003017223A (ja) * 2001-07-03 2003-01-17 Onahama Seisakusho:Kk セラミックヒータ及びセラミックヒータ内臓型静電チャック
JP2005032842A (ja) 2003-07-08 2005-02-03 Ibiden Co Ltd 電極構造およびセラミック接合体
JP4570345B2 (ja) * 2003-09-18 2010-10-27 株式会社三幸 熱処理炉
JP4421874B2 (ja) * 2003-10-31 2010-02-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2006140367A (ja) * 2004-11-15 2006-06-01 Sumitomo Electric Ind Ltd 半導体製造装置用加熱体およびこれを搭載した加熱装置
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
KR20070050111A (ko) 2005-11-10 2007-05-15 주성엔지니어링(주) 균일한 온도제어를 위한 정전척 및 이를 포함하는 플라즈마발생장치
JP2007317772A (ja) * 2006-05-24 2007-12-06 Shinko Electric Ind Co Ltd 静電チャック装置
JP4855177B2 (ja) 2006-08-10 2012-01-18 住友大阪セメント株式会社 静電チャック装置
JP2008115440A (ja) * 2006-11-06 2008-05-22 Shinko Electric Ind Co Ltd 基板加熱装置
JP4944600B2 (ja) 2006-12-28 2012-06-06 新光電気工業株式会社 基板温調固定装置
US7667944B2 (en) 2007-06-29 2010-02-23 Praxair Technology, Inc. Polyceramic e-chuck
DE112009001988T5 (de) * 2008-08-20 2011-07-28 ULVAC, Inc., Kanagawa Verfahren zur Bestimmung einer Anwendungsgrenze einer elektrostatischen Haltevorrichtung
KR20100046909A (ko) * 2008-10-28 2010-05-07 주성엔지니어링(주) 정전 흡착 장치와 그의 제조방법
US9553006B2 (en) * 2011-08-30 2017-01-24 Watlow Electric Manufacturing Company High definition heater system having a fluid medium

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101465285A (zh) * 2007-12-21 2009-06-24 新光电气工业株式会社 调节和固定基板温度的装置
US20100156055A1 (en) * 2008-12-24 2010-06-24 Shinko Electric Industries Co., Ltd. Substrate temperature control fixing apparatus
CN102473672A (zh) * 2009-07-30 2012-05-23 朗姆研究公司 静电夹头中的点火防止
US20110092072A1 (en) * 2009-10-21 2011-04-21 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110226222A (zh) * 2017-01-20 2019-09-10 应用材料公司 具有射频隔离式加热器的静电吸盘
CN113130279A (zh) * 2019-12-30 2021-07-16 中微半导体设备(上海)股份有限公司 下电极组件、等离子体处理装置及其工作方法
CN113130279B (zh) * 2019-12-30 2023-09-29 中微半导体设备(上海)股份有限公司 下电极组件、等离子体处理装置及其工作方法

Also Published As

Publication number Publication date
JP2015517225A (ja) 2015-06-18
KR20160006239A (ko) 2016-01-18
US20130279066A1 (en) 2013-10-24
CN104247002B (zh) 2017-03-15
TWI509732B (zh) 2015-11-21
TW201401426A (zh) 2014-01-01
KR101958018B1 (ko) 2019-03-13
JP5938140B2 (ja) 2016-06-22
KR20180064569A (ko) 2018-06-14
WO2013163220A1 (en) 2013-10-31
KR20150013575A (ko) 2015-02-05
JP6290275B2 (ja) 2018-03-07
CN105515450B (zh) 2020-02-18
US8937800B2 (en) 2015-01-20
CN104247002A (zh) 2014-12-24
JP2016146487A (ja) 2016-08-12
KR101584538B1 (ko) 2016-01-12

Similar Documents

Publication Publication Date Title
CN105515450A (zh) 具有增强射频及温度均匀性的静电夹盘
TWI564990B (zh) 具有可變像素化加熱的靜電夾具、半導體處理系統及用於控制該靜電夾具之溫度的方法
JP2020109845A (ja) ピクセル型温度制御式基板支持アセンブリ
CN100423196C (zh) 螺旋谐振器型等离子体处理设备
US8461674B2 (en) Thermal plate with planar thermal zones for semiconductor processing
TWI538093B (zh) 有著對稱供給結構之基板支架
CN101154612B (zh) 具有抗蚀性绝热层的温度受控衬底夹持器
TWI494028B (zh) 具有可控制分配rf功率至製程套組環之電漿反應器的工件支撐件
CN105474381A (zh) 可调谐温度受控的基板支撑组件
US11948826B2 (en) High power electrostatic chuck design with radio frequency coupling
KR20090071060A (ko) 정전척 및 그를 포함하는 기판처리장치
TW200409722A (en) Substrate support member for use in FPD manufacturing apparatus
TWI671793B (zh) 基板支撐單元及具有基板支撐單元之膜體形成裝置
US20070044916A1 (en) Vacuum processing system
US20220270906A1 (en) Electrostatic chuck with differentiated ceramics
CN104752130A (zh) 等离子体处理装置及其静电卡盘
JP6433502B2 (ja) プラズマ反応容器及び組立体並びにプラズマ処理を実行する方法
JP2002118096A (ja) アッシング装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant