KR101584538B1 - 진보된 rf 및 온도 균일성을 갖는 정전 척 - Google Patents

진보된 rf 및 온도 균일성을 갖는 정전 척 Download PDF

Info

Publication number
KR101584538B1
KR101584538B1 KR1020147032750A KR20147032750A KR101584538B1 KR 101584538 B1 KR101584538 B1 KR 101584538B1 KR 1020147032750 A KR1020147032750 A KR 1020147032750A KR 20147032750 A KR20147032750 A KR 20147032750A KR 101584538 B1 KR101584538 B1 KR 101584538B1
Authority
KR
South Korea
Prior art keywords
dielectric layer
electrostatic chuck
layer
disposed
vias
Prior art date
Application number
KR1020147032750A
Other languages
English (en)
Other versions
KR20150013575A (ko
Inventor
드미트리 루보미르스키
제니퍼 와이. 선
마크 마르코브스키
콘스탄틴 마크흐랏체브
더글라스 에이. 주니어 부츠버저
사메르 밴나
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150013575A publication Critical patent/KR20150013575A/ko
Application granted granted Critical
Publication of KR101584538B1 publication Critical patent/KR101584538B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S269/00Work holders
    • Y10S269/903Work holder for electrical circuit assemblages or wiring systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

진보된 RF 및 온도 균일성을 갖는 정전 척들(ESCs)이 설명된다. 예를 들어, ESC는 정상부 유전체 층을 포함한다. 상부 금속 부분은 정상부 유전체 층 아래에 배치된다. 제 2 유전체 층은 복수의 픽실레이트식 저항성 히터들 위에 배치되고 상부 금속 부분에 의해 부분적으로 둘러싸인다. 제 3 유전체 층은 제 2 유전체 층 아래에 배치되고, 제 3 유전체 층과 제 2 유전체 층 사이의 경계를 갖는다. 복수의 비아들은 제 3 유전체 층에 배치된다. 버스 바 전력 분배 층은 복수의 비아들 아래에 배치되고 복수의 비아들에 커플링된다. 제 4 유전체 층은 버스 바 전력 분배 층 아래에 배치되고, 제 4 유전체 층과 제 3 유전체 층 사이의 경계를 갖는다. 금속 베이스는 제 4 유전체 층 아래에 배치된다. 금속 베이스는 내부에 수납된 복수의 높은 전력의 히터 요소들을 포함한다.

Description

진보된 RF 및 온도 균일성을 갖는 정전 척{ELECTROSTATIC CHUCK WITH ADVANCED RF AND TEMPERATURE UNIFORMITY}
관련 출원들에 대한 상호 참조
본 출원은, 2012년 4월 24일에 출원된 미국 가출원 제 61/637,500 호 및 2013년 3월 8일에 출원된 미국 가출원 제 61/775,372 호를 우선권으로 주장하며, 이에 상기 가특허 출원들의 전체 내용은 인용에 의해 본원에 포함된다.
본 발명의 실시예들은 반도체 프로세싱 장비 분야에 관한 것이고, 특히, 진보된 RF 및 온도 균일성을 갖는 정전 척들, 및 그러한 정전 척들을 제조하는 방법들에 관한 것이다.
플라즈마 에칭 또는 플라즈마 증착 챔버와 같은 플라즈마 프로세싱 챔버에서, 챔버 컴포넌트의 온도는 종종, 프로세스 동안 제어할 중요한 매개변수이다. 예를 들어, 일반적으로 척 또는 페데스탈로 불리는 기판 홀더의 온도는 (예를 들어, 에칭 레이트를 제어하는) 프로세스 레시피(recipe) 동안 여러 가지 제어된 온도들로 작업물을 가열/냉각시키기 위해 제어될 수 있다. 유사하게, 샤워헤드/상부 전극, 챔버 라이너, 배플(baffle), 프로세스 키트, 또는 다른 컴포넌트의 온도는 또한, 프로세싱에 영향을 주는 프로세스 레시피 동안 제어될 수 있다. 통상적으로, 챔버 컴포넌트의 온도를 원하는 온도에서 유지하기 위해 히트 싱크 및/또는 열 소스가 프로세싱 챔버에 커플링된다. 종종, 챔버 컴포넌트에 열적으로 커플링된 적어도 하나의 열 전달 유체 루프가 가열 및/또는 냉각 전력을 제공하는데 이용된다.
열 전달 유체 루프의 긴 라인 길이들, 및 그러한 긴 라인 길이들과 연관된 대형 열 전달 유체 용적들은 온도 제어 응답 시간들에 불리하다. 사용 현장 시스템들(point-of-use systems)은 유체 루프 길이들/용적들을 감소시키는 하나의 수단이다. 그러나 물리적 공간 제약들은 그러한 사용 현장 시스템들의 전력 부하들을 불리하게 제한한다.
플라즈마 프로세싱 경향들이 지속적으로 RF 전력 레벨들을 증가시키고 또한 작업물 직경들을 증가시키는(현재 300mm가 전형적이고 450mm 시스템들은 현재 개발중이다) 상황에서, 빠른 응답 시간 및 높은 전력 부하들 양쪽 모두를 다루는(addressing) 온도 및/또는 RF 제어 및 분배가 플라즈마 프로세싱 분야에서 유리하다.
도 1은 본 발명의 실시예에 따라, 웨이퍼 또는 기판을 지지하도록 구성된 정전 척(ESC)의 부분의 단면도를 도시한다.
도 2는 본 발명의 다른 실시예에 따라, 웨이퍼 또는 기판을 지지하도록 구성된 여러 가지 정전 척들의 부분들의 단면도들을 도시한다.
도 3은 본 발명의 다른 실시예에 따라, 웨이퍼 또는 기판을 지지하도록 구성된 정전 척의 부분의 단면도를 도시한다.
도 4는 본 발명의 다른 실시예에 따라, 웨이퍼 또는 기판을 지지하도록 구성된 정전 척의 부분의 단면도를 도시한다.
도 5a는 본 발명의 다른 실시예에 따라, 플라즈마 스프레이 배열체를 강조하면서, 웨이퍼 또는 기판을 지지하도록 구성된 정전 척의 부분의 단면도를 도시한다.
도 5b는 본 발명의 다른 실시예에 따라, 솔리드 세라믹(solid ceramic) 정상부 배열체를 강조하면서, 웨이퍼 또는 기판을 지지하도록 구성된 정전 척의 부분의 단면도를 도시한다.
도 6은 본 발명의 여러 가지 실시예들에 따라, 정전 척(ESC)을 위한 저항성 예비 히터들의 12x13 구성을 포함하는 전기 블록도이다.
도 7은 본 발명의 실시예에 따라, 진보된 RF 및 온도 균일성을 갖는 정전 척이 수납될(housed) 수 있는 시스템을 도시한다.
도 8은 본 발명의 실시예에 따라, 예시적인 컴퓨터 시스템의 블록도를 도시한다.
진보된 RF 및 온도 균일성을 갖는 정전 척들, 및 그러한 정전 척들을 제조하는 방법들이 설명된다. 이하의 상세한 설명에서, 본 발명의 실시예들의 완전한 이해를 제공하기 위해서, 특정한 척 물질 레짐들(regimes)과 같은 많은 수의 구체적인 세부 사항들이 열거된다. 본 발명의 실시예들이 이러한 구체적인 세부 사항들 없이 실현될 수 있다는 것이 당업자들에게 자명할 것이다. 다른 경우들에서, 본 발명의 실시예들을 불필요하게 이해하기 어렵게 하지 않기 위해, 척에 의해 지지되는 웨이퍼가 있는 에칭 프로세싱과 같은 잘-공지된 양태들은 상세하게 설명되지 않는다. 또한, 도면들에 도시된 여러 가지 실시예들은 예시적인 표현들이고 반드시 실척으로 도시되는 것은 아님이 이해되어야 한다.
본원에 설명된 하나 또는 그 초과의 실시예들은 진보된 RF 및 온도 균일성을 갖는 정전 척들 또는 진보된 RF 및 온도 균일성을 갖는 정전 척들을 포함하는 시스템들에 관한 것이다.
전후 사정(context)을 제공하기 위해서, 정전 척킹에 의한 웨이퍼 클램핑은 에칭 프로세싱 동안 온도 제어를 제공하는데 사용되어 왔다. 웨이퍼는 어플리케이션에 따라 히트 싱크 또는 히터(또는 양쪽 모두)를 구비한 세라믹 또는 다층 표면에 클램핑된다. 고유의 불-균일성들 및 보조 하드웨어(예를 들어, 리프터 핀들(pins), RF/DC 전극들, 등) 때문에 세라믹 표면 온도는 균일하지 않다. 이러한 불-균일성은 웨이퍼로 전달되어, 에칭 프로세스에 영향을 준다. 통상적인 척 설계들은 냉각제 레이아웃 최적화 및 다수의(최대 4구역들) 히터들의 도입에 집중해왔다. 그러한 척 설계들은 보조 하드웨어(예를 들어, 리프터 핀들, RF/DC 전극들, 등)와 관련된, 또는 보조 하드웨어에 의해서 야기되는 문제를 해결하는데 유용하지 못했다.
실시예에서, 통상적인 접근 방법들로 상기 설명된 문제들을 다루기 위해서, 극도의 온도 균일성을 갖는 차세대(4-구역을 넘어서는) 에칭 챔버 ESC가 설명된다. 실시예에서, 이하에서 더 상세하게 설명되는 바와 같이, 본원에서 설명되는 척은 Al2O3-계 12인치 퍽(puck), 최대 130C의 온도 능력, 플라즈마와 함께 섭씨 65/65/45도씨에서 0.5C 이하의 온도 균일성 중 하나 또는 그 초과를 포함하는 열 요건들을 달성할 수 있다. 본원에서 설명되는 실시예들은 능동 온도 제어를 갖는 차세대 에칭 챔버 ESC들에 관한 것일 수 있다.
도 1 내지 5a 및 도 5b는 본 발명의 여러 가지 실시예들에 따라, 정전 척(ESC) 구조들, 또는 정전 척의 부분들을 도시한다.
도 1을 참조하면, ESC(100)는 웨이퍼 또는 기판(102)을 지지하도록 구성된다. ESC의 프레임워크(104)는 예를 들어, 알루미늄으로 구성될 수 있다. 플라즈마 스프레이 코팅 층(106), 예를 들어, 세라믹 층은 프레임워크(104)의 여러가지 표면들 상에 표함된다. 메인 히터들(108)이, 보조 히터들(110)과 함께, 포함된다.
도 2를 참조하면, 단면 시점으로부터 도시된 바와 같이, ESC 부분(200)은 웨이퍼 또는 기판(202)을 지지하도록 구성된다. 웨이퍼 또는 기판(202)이 위에 놓이는 세라믹 층(204)은 복수의 저항성 히터 요소들(206) 상에 배치되고, 예를 들어, 점착 층(208)에 의해 제자리에 유지된다. 금속 베이스(210)는 복수의 저항성 히터 요소들(206)을 지지하고 그리고 RF 핫(hot)일 수 있다. 도 2에 도시된 바와 같이, 선택적인 척킹 전극(212)이 또한 포함될 수 있다.
다시 도 2를 참조하면, 단면 투시도로부터 도시된 바와 같이, 솔리드 세라믹 플레이트(221)를 갖는 ESC의 부분(220)은 ESC 내에 RF 경로들(222 및 224)을 보여주기 위해 제공된다. RF 경로(242)는, 또한 도 2에서 단면 투시도로부터 도시된 바 대로, ESC의 부분(240B)(240A로 도시된 바와 같이 또한 구성될 수 있음)에서 더 보여진다. 몇몇 실시예들에서, 도시된 ESC 부분들(220, 240A 및 240B)이, 솔리드 세라믹 플레이트-전용(plate-only) 배열체와 함께 구성될 수 있거나(도시된 바와 같음) 또는, 도 5b와 관련하여 이하에서 더 상세하게 설명되는 바와 같이, 솔리드 세라믹 플레이트가 위에 점착되는 플라즈마 스프레이 코팅 층을 포함할 수 있음이 이해되어야 한다.
도 3을 참조하면, 단면 투시도로부터 도시된 바와 같이, ESC(300)은 웨이퍼 또는 기판(302)을 지지하도록 구성된다. 유전체 층(304), 예를 들어, 플라즈마 스프레이 유전체 층은 웨이퍼 또는 기판(302)이 위에 놓일 지지부를 제공한다. 개방 영역들(306)은 예를 들어, 후면(backside) 헬륨(He) 냉각을 위한 냉각 채널들을 제공한다. 유전체 층(304)은, 예를 들어, RF 파들(waves)을 위한 가이드를 제공할 수 있는 상부 금속 부분(308) 위에 배치된다. 유전체 층(310), 예를 들어, 플라즈마 스프레이 또는 아크(arc) 산화 층은 복수의 픽실레이트식(pixilated) 저항성 히터들(312) 위에 배치되고 그리고 상부 금속 부분(308)에 의해 부분적으로 둘러싸인다. 부가적인 유전체 층(314)은 유전체 층(310) 아래에 배치되고, 유전체 층(314)과 유전체 층(310) 사이의 경계(316)를 갖는다. 비아들(vias; 318)은 복수의 픽실레이트식 저항성 히터들(312)과 버스 바(bus bar) 전력 분배 층(320)을 커플링하도록 포함된다. 유전체 층(322)은 버스 바 전력 분배 층(320) 아래에 배치되고, 유전체 층(314)과 유전체 층(322) 사이의 경계(324)를 갖는다. 상기 피쳐들(features)은 금속 베이스(326) 위에 배치된다. 금속 베이스(326)는 높은 전력의 히터 요소들 또는 부스터들(328)을 수납한다. 도 3에 도시된 바와 같이, 또한, 용접된 바닥부 플레이트(330)가 포함될 수 있다.
본 발명의 실시예에 따라, 정전 척(ESC)은 베이스라인 온도 제어를 제공하기 위해서 1 또는 그 초과(최대 8개)의 메인 히터들을 갖는다. 온도 분배의 미세-튜닝(fine-tuning)을 제공하기 위해서, 다수의 보조 히터들이 ESC 표면 근처에 위치된다. RF-관련 균일성을 감소시키기 위해, 모든 히터들은 알루미늄 케이지 내부에 포지셔닝되고, 알루미늄 케이지는 동시에 RF 쉴드 및 RF 전달 경로로서 작동한다. 따라서, 실시예에서, 개선된 RF 균일성 및/또는 개선된 온도 균일성을 갖는 에칭 프로세싱이 달성될 수 있다.
특정 실시예에서, 본원에 설명된 척이 온도 균일성 요건들을 달성할 수 있는데, 온도 균일성 요건들은 다음 중 하나 또는 그 초과를 포함한다: (1)히터 레이아웃에 대해서: RF 커플링, 단계들 사이의 프로세스 온도 램프(ramp), 4-구역 히터 설계로 다뤄짐; (2) 툴 매칭에 대해서: 통상적인 ESC/샤워헤드/엣지 HW의 미묘한 편차들이 국부화된 핫/콜드 스팟들을 초래하고 그리고 그렇지 않으면 멀티-어레이, 45개부터 최대 169개의 균등화(equalization) 히터들이 툴-대-툴 온도 균일성을 매칭시켜야 함.
실시예에서, 도 3과 관련하여 설명된 ESC(300)는 맨 먼저 높은 전력의 히터 요소들 또는 부스터들(328)을 금속 베이스(326) 내로 설치하는 것에 의해 제조될 수 있다. 그런 다음에 바닥부 플레이트(330)가 제 위치에 용접된다. 그런 다음에 유전체 층(322)이, 예를 들어, 플라즈마 스프레이 또는 아크 아노다이징(anodizing) 접근 방법들에 의해 증착된다. 그런 다음에 금속 층이, 예를 들어, 스크린 프린팅에 의해 형성되어서, 전류를 픽실레이트식 저항성 히터들(312)에 전달할 수 있는 버스 바 전력 분배 층(320)을 제공한다. 그런 다음에 유전체 층(314)이 증착되고, 유전체 층(324)을 커버한다. 그런 다음에 비아 홀들이 유전체 층(314)에 형성되고, 버스 바 전력 분배 층(320)을 노출시킨다. 그런 다음에 금속 증착이 실시되어 비아 홀들을 충진하고, 비아들(318)을 형성한다. 대안적으로, 비아들(318)은 픽실레이트식 저항성 히터들(312)을 형성하는 동안 충진될(filled) 수 있다. 그런 다음에 유전체 층(310)이 충진되고, 이에 후속하여 상부 금속 부분(308)이 증착된다. 상부 금속 부분(308)은 금속 베이스의 엣지들을 제공하도록 형성된다. 그런 다음에 유전체 층(304)이 형성되어 상기 설명된 모든 층들을 커버한다. 선택적으로, 피쳐들은 유전체 층(304) 내에 기계가공되어(machined), ESC(300)와의 웨이퍼 인터페이스를 조정할(tailor) 수 있다.
도 4를 참조하면, 단면 투시도로부터 도시된 바와 같이, ESC 부분(400)은 웨이퍼 또는 기판을 지지하도록 구성된다. ESC(400)의 정상부 유전체 층 또는 피쳐는, 예컨대 플라즈마 스프레이에 의해, 예를 들어, 증착된 유전체 층(예를 들어, Al2O3)(402A)을 포함함으로써 제공될 수 있다. 대안적으로, 또는 이에 더하여, Al2O3 플레이트와 같은 유전체 플레이트(402B)가 포함될 수 있다. 양쪽 선택사항들이 도4에 도시된다. 알루미늄(Al) 베이스와 같은 금속 베이스(404)는 유전체 층(402A) 및/또는 유전체 플레이트(402B) 아래에 포함된다. 단열부(thermal break)를 제공하기 위해 슬롯들(406)이 금속 베이스(404)에 포함될 수 있다. 케이블 히터들(408)은 금속 베이스(404)에 수납된다. 금속 베이스(404)는, 도 4에 도시된 바와 같이, 냉각 베이스로의 경로들을 더 포함할 수 있다.
도 5a는 본 발명의 실시예에 따라, 단면 투시도로부터 도시된 바와 같이, ESC 부분(500A)을 도시하고, 플라즈마 스프레이 구성을 강조한다. ESC 부분(500A)은, 위에 배치된 플라즈마 스프레이 유전체 층(504)을 갖는, 알루미늄 베이스와 같은 금속 베이스 부분(502)을 포함한다. 플라즈마 스프레이 층은 알루미나(Al2O3), 이트륨 옥사이드(Y2O3) 또는 고성능 물질(HPM)과 같은, 그러나 이에 제한되지는 않는, 유전체 물질로 구성될 수 있다. 다공성 플러그(506)는 금속 베이스 부분(502)에 배치되고, 예를 들어, 헬륨 유동에 의한 웨이퍼 또는 기판 냉각을 위한 경로(508)를 제공한다. 경로(508)는 플라즈마 스프레이 유전체 층(504)을 통해 배치된다.
도 5b는 본 발명의 실시예에 따라, 단면 투시도로부터 도시된 바와 같이, ESC 부분(500B)을 도시하고, 솔리드 세라믹 정상부 구성을 강조한다. ESC 부분(500B)은 알루미늄 베이스와 같은 금속 베이스 부분(552)을 포함한다. (Al2O3 플레이트와 같은) 솔리드 세라믹 정상부(554)는 금속 베이스 부분(552) 위에 배치된다. 일 실시예에서, 솔리드 세라믹 정상부(554)는 도 5b에 도시된 바와 같이 플라즈마 스프레이 유전체 층(560) 위에 배치된다. 플라즈마 스프레이 층(560)은 알루미나(Al2O3), 이트륨 산화물(Y2O3) 또는 고성능 물질(HPM)과 같은, 그러나 이에 제한되지는 않는, 유전체 물질로 구성될 수 있다. 그러한 실시예에서, 솔리드 세라믹 정상부(554)는 점착 층(562)에 의해서 플라즈마 스프레이 유전체 층(560)에 커플링될 수 있다. 다공성 플러그(556)가 금속 베이스 부분(552)에 배치되고, 예를 들어, 헬륨 유동에 의한 웨이퍼 또는 기판 냉각을 위한 경로(558)를 제공한다. 경로(558)는 솔리드 세라믹 정상부(554) 및, 존재한다면, 플라즈마 스프레이 유전체 층(560)을 통해 배치된다.
실시예에서, 본원에 설명된 척의 기계적 양태들은 ESC 자신, 부가적인 24-26 필터들을 위해 재설계된 음극 조립체, 전기적 RF 필터들, 및 보조 히터들로의 전력 전달을 포함한다. 실시예에서, 본원에 설명된 척의 전류(commutation)/스위칭 로직 양태들은 기존의 하드웨어와의 인터페이스를 포함한다. 실시예에서, 본원에 설명된 척의 소프트웨어 양태들은 I-4 온도 데이터와의 인터페이스, 및/또는 전기적 서브조립체와의 통신을 포함한다. 실시예에서, 본원에 설명된 척을 위한 메인 히터는 이중-구역 히터를 포함한다. 실시예에서 본원에 설명된 척에 대한 전력 요건은 보조 히터들로 다뤄진다.
실시예에서, 본원에 설명된 척의 ESC 타입 양태들은 쿨롱(coulombic), 대략 92% 알루미나 조성물, 얇은 세라믹, 가능한 스왑 가능한 것(swappable)/소모품, RF-핫 클램프 전극 및 또는 프린팅된 RF 전극을 갖는 접지된 냉각 플레이트들 중 하나 또는 그 초과를 포함한다. 실시예에서, 최대 RF 전력에 대한 사양(spec)은 대략 2kW 및 대략 13.56MHz 이다. 실시예에서, 최대 헬륨 압력에 대한 사양은 대략 10Torr이다. 실시예에서, RF 전류 제한 사항들은 핀당 대략 20A의 핀-대-전극 인터페이스로 정량화된다. 실시예에서, 내측/외측 히터 저항은 대략 90C, 130C, 25A, 160V, 150C (내측) 13A, 150V, 150C (외측)이다.
실시예에서, 본원에 설명된 척을 위한 보조 히터들은 대략 45개의 히터들, 및 최대 144개 내지 169개의 히터들(12x12 또는 13x13 구성)을 포함한다. 대략 92% 알루미나, 최소 국부화된 1C 가열, 최대 4℃ 가열 및 45개의 히터들에서 추정된 히터들을 위한 전력은 히터들 사이의 6℃증분에 대해 대략 3W이다(4W 고-순도(hi-purity)). 실시예에서, 피드백은 이중-구역 메인 히터들을 위한 2개의 센서들을 포함한다. 실시예에서, RF 필터링은 총 169개의 히터들(~168Ω)에 대해서 히터당 3W 평균, DC 294V, 1.75Amp에 기초한다. 예시로서, 도 6은 본 발명의 실시예에 따른, 전기 블록도(600)이다. 도 6을 참조하면, 저항성 보조 히터들의 12x13 구성(602)이 예시로서 제공된다.
진보된 RF 및 온도 균일성을 갖는 정전 척은, 에칭을 위해 샘플에 근접하는 에칭 플라즈마를 제공하기에 적합한 프로세싱 장비에 포함될 수 있다. 예를 들어, 도 7은 본 발명의 실시예에 따라, 진보된 RF 및 온도 균일성을 갖는 정전 척이 수납될 수 있는 시스템을 도시한다.
도 7을 참조하면, 플라즈마 에칭 프로세스를 수행하기 위한 시스템(700)은 샘플 홀더(704)가 장착된 챔버(702)를 포함한다. 진공배기 디바이스(706), 가스 유입구 디바이스(708) 및 플라즈마 점화 디바이스(710)는 챔버(702)와 커플링된다. 컴퓨팅 디바이스(712)는 플라즈마 점화 디바이스(710)와 커플링된다. 시스템(700)은 샘플 홀더(704)와 커플링된 전압 소스(714) 및 챔버(702)와 커플링된 검출기(716)를 부가적으로 포함할 수 있다. 컴퓨팅 디바이스(712)는 또한, 도 7에 도시된 바와 같이, 진공배기 디바이스(706), 가스 유입구 디바이스(708), 전압 소스(714) 및 검출기(716)와 커플링될 수 있다.
챔버(702) 및 샘플 홀더(704)는, 이온화된 가스, 즉, 플라즈마를 수용하고, 그리고 이온화된 가스 또는 이온화된 가스로부터 탈출된 대전된 종에 근접하게 샘플을 가져오기에 적합한 반응성 챔버 및 샘플 포지셔닝 디바이스를 포함할 수 있다. 진공배기 디바이스(706)는 챔버(702)를 진공배기하고 감압(de-pressurize)하기에 적합한 디바이스일 수 있다. 가스 유입구 디바이스(708)는 반응 가스를 챔버(702) 내로 주입하기에 적합한 디바이스일 수 있다. 플라즈마 점화 디바이스(710)는 가스 유입구 디바이스(708)에 의해 챔버(702) 내에 주입된 반응 가스로부터 파생된 플라즈마를 점화하기에 적합한 디바이스일 수 있다. 검출기(716)는 프로세싱 작동의 종료점을 검출하기에 적합한 디바이스일 수 있다. 일 실시예에서, 시스템(700)은, Applied Materials® AdvantEdge 시스템에서 사용되는 컨덕터 에칭 챔버 또는 관련 챔버들과 유사하게, 또는 동일하게, 챔버(702), 샘플 홀더(704), 진공배기 디바이스(706), 가스 유입구 디바이스(708), 플라즈마 점화 디바이스(710) 및 검출기(716)를 포함한다.
본 발명의 실시예들은 컴퓨터 프로그램 제품, 또는 소프트웨어로서 제공될 수 있고, 이들은 매체에 저장된 명령어들을 갖는 기계-판독 가능한 매체를 포함할 수 있으며, 그러한 명령어들은 본 발명에 따른 프로세스를 실시하도록 컴퓨터 시스템(또는 다른 전자 디바이스들)을 프로그래밍하는데 사용될 수 있다. 기계-판독 가능한 매체는 기계(예를 들어, 컴퓨터)에 의해 판독 가능한 형태로 정보를 저장하거나 전송하기 위한 임의의 메커니즘을 포함한다. 예를 들어, 기계-판독 가능한(예를 들어, 컴퓨터-판독 가능한) 매체는 기계(예를 들어, 컴퓨터) 판독 가능한 저장 매체(예를 들어, 리드 온리 메모리("ROM"), 랜덤 엑세스 메모리("RAM"), 자기 디스크 저장 매체, 광학 저장 매체, 플래시 메모리 디바이스들, 등), 기계(예를 들어, 컴퓨터) 판독 가능한 전송 매체(전기, 광학, 음향(acoustical) 또는 다른 형태의 전파된 신호들(예를 들어, 적외선 신호들, 디지털 신호들, 등)), 등을 포함한다.
도 8은 본원에서 논의된 방법론들 중 어느 하나 또는 그 초과를 기계가 실시하게 하기 위해 명령어들의 세트가 내부에서 실행될 수 있는 예시적인 형태의 컴퓨터 시스템(800)의 기계의 개략도(diagrammatic representation)를 도시한다. 대안적인 실시예들에서, 기계는 근거리 네트워크(LAN), 인트라넷, 엑스트라넷, 또는 인터넷으로 다른 기계들에 연결될 수 있다(예를 들어, 네트워킹된다). 기계는 클라이언트-서버 네트워크 환경에서 클라이언트 기계로 또는 서버로서, 또는 피어-투-피어(또는 분산된) 네트워크 환경에서 피어 기계로서 작동할 수 있다. 기계는 개인용 컴퓨터(PC), 태블릿 PC, 셋-톱 박스(STB), 개인용 휴대 단말기(PDA), 셀룰러 전화기, 웹 장치(web appliance), 서버, 네트워크 라우터, 스위치 또는 브릿지, 또는 해당 기계에 의해 취해질 동작들을 명시하는 (순차적인 또는 그와는 다른) 명령어들의 세트를 실행할 수 있는 임의의 기계일 수 있다. 또한, 오직 단일 기계만이 도시되긴 하지만, 용어 "기계"는 또한, 본원에서 논의된 방법론들 중 어느 하나 또는 그 초과를 실시하는 명령어들의 세트(또는 다수의 세트들)를 개별적으로 또는 합동으로 실행하는 기계들(예를 들어, 컴퓨터들)의 임의의 집단을 포함하는 것으로 이해되어야 한다. 일 실시예에서, 컴퓨터 시스템(800)은 도 7과 관련되어 설명된 컴퓨팅 디바이스(712)로서 사용하기에 적합하다.
예시적인 컴퓨터 시스템(800)은 프로세서(802), 메인 메모리(804)(예를 들어, 리드-온리 메모리(ROM), 플래시 메모리, 동기식 DRAM(SDRAM) 또는 램버스 DRAM(RDRAM)과 같은 동적 랜덤 엑세스 메모리(DRAM), 등), 정적 메모리(806)(예를 들어, 플래시 메모리, 정적 랜덤 엑세스 메모리(SRAM), 등), 및 이차 메모리(818)(예를 들어, 데이터 저장 디바이스)를 포함하고, 이들은 버스(830)를 통해 서로 통신한다.
프로세서(802)는 마이크로프로세서, 또는 중앙 처리 장치, 등과 같은 하나 또는 그 초과의 범용 프로세싱 디바이스들을 나타낸다. 더 구체적으로, 프로세서(802)는 복합 명령어 세트 컴퓨팅(CISC) 마이크로프로세서, 축소 명령어 세트 컴퓨팅(RISC) 마이크로프로세서, 초장 명령어(VLIW) 마이크로프로세서, 다른 명령어 세트들을 구현하는 프로세서, 또는 명령어 세트들의 조합을 구현하는 프로세서들일 수 있다. 프로세서(802)는 또한, 주문형 반도체(ASIC), 현장 프로그래머블 게이트 어레이(FPGA), 디지털 신호 프로세서(DSP), 또는 네트워크 프로세서 등과 같은 하나 또는 그 초과의 전용 프로세싱 디바이스들일 수 있다. 프로세서(802)는 본원에서 논의된 동작들을 실시하기 위해 프로세싱 로직(826)을 실행하도록 구성된다.
컴퓨터 시스템(800)은 네트워크 인터페이스 디바이스(808)를 더 포함할 수 있다. 컴퓨터 시스템(800)은 또한, 비디오 디스플레이 유닛(810)(예를 들어, 액정 디스플레이(LCD) 또는 음극선관(CRT)), 영숫자 입력 디바이스(812)(예를 들어, 키보드), 커서 제어 디바이스(814)(예를 들어, 마우스), 및 신호 생성 디바이스(816)(예를 들어, 스피커)를 포함할 수 있다.
이차 메모리(818)는 본원에 설명된 방법론들 또는 기능들 중 어느 하나 또는 그 초과를 실시하는 명령어들의 하나 또는 그 초과의 세트들(예를 들어, 소프트웨어(822))이 저장되는 기계-엑세스 가능한 저장 매체(831)(또는 더 구체적으로 컴퓨터-판독 가능한 저장 매체)를 포함할 수 있다. 소프트웨어(822)는 또한, 컴퓨터 시스템(800)에 의해 소포트웨어가 실행되는 동안 메인 메모리(804) 내에 및/또는 프로세서(802) 내에 완전히 또는 적어도 부분적으로 있을 수 있고, 메인 메모리(804) 및 프로세서(802)는 또한, 기계-판독 가능한 저장 매체를 구성할 수 있다. 소프트웨어(822)는 네트워크 인터페이스 디바이스(808)를 통해 네트워크(820)를 통하여 또한 전송되거나 수신될 수 있다.
기계-엑세스 가능한 저장 매체(831)가 예시적인 실시예에서 단일 매체인 것으로 도시되기는 했지만, 용어 "기계-판독 가능한 저장 매체"는 명령어들의 하나 또는 그 초과의 세트들을 저장하는 단일 매체 또는 다수 매체들(예를 들어, 중앙화된 또는 분산된 데이터베이스 및/또는 연관된 캐시들 및 서버들)을 포함하는 것으로 이해되어야 한다. 용어 "기계-판독 가능한 저장 매체"는 또한, 기계에 의한 실행을 위해 명령어들의 세트를 저장 또는 인코딩할 수 있고 그리고 기계가 본 발명의 방법론들 중 어떤 하나 또는 그 초과를 실시하게 하는 임의의 매체를 포함하는 것으로 이해되어야 한다. 따라서, 용어 "기계-판독 가능한 저장 매체"는 솔리드-스테이트 메모리들, 및 광학 및 자기 매체들을 포함하는 것으로, 그러나 이에 제한되지는 않는 것으로 이해되어야 한다.
이렇게 하여, 진보된 RF 및 온도 균일성을 갖는 정전 척들, 및 그러한 정전 척들을 제조하는 방법들이 개시되었다. 실시예에서, 진보된 RF 및 온도 균일성을 갖는 정전 척(ESC)은 정상부 유전체 층을 포함한다. 상부 금속 부분은 정상부 유전체 층 아래에 배치된다. 제 2 유전체 층은 복수의 픽실레이트식 저항성 히터들 위에 배치되고 상부 금속 부분에 의해서 부분적으로 둘러싸인다. 제 3 유전체 층은 제 2 유전체 층 아래에 배치되고, 제 3 유전체 층과 제 2 유전체 층 사이의 경계를 갖는다. 복수의 비아들이 제 3 유전체 층에 배치된다. 버스 바 전력 분배 층은 복수의 비아들 아래에 배치되고 복수의 비아들에 커플링된다. 복수의 비아들은 복수의 픽실레이트식 저항성 히터들을 버스 바 전력 분배 층에 전기적으로 커플링시킨다. 제 4 유전체 층은 버스 바 전력 분배 층 아래에 배치되고, 제 4 유전체 층과 제 3 유전체 층 사이의 경계를 갖는다. 금속 베이스는 제 4 유전체 층 아래에 배치된다. 금속 베이스는 내부에 수납된 복수의 높은 전력의 히터 요소들을 포함한다.

Claims (20)

  1. 진보된 RF 및 온도 균일성을 갖는 정전 척(ESC)으로서,
    정상부 유전체 층;
    상기 정상부 유전체 층 아래에 배치되는 상부 금속 부분;
    복수의 픽실레이트식(pixilated) 저항성 히터들 위에 배치되고 상기 상부 금속 부분에 의해 부분적으로 둘러싸이는 제 2 유전체 층;
    상기 제 2 유전체 층 아래에 배치되는 제 3 유전체 층 - 상기 제 3 유전체 층은 상기 제 2 유전체 층과 제 3 유전체 층 사이의 경계를 가짐-;
    상기 제 3 유전체 층에 배치된 복수의 비아들;
    상기 복수의 비아들 아래에 배치되고 상기 복수의 비아들에 커플링된 버스 바 전력 분배 층 - 상기 복수의 비아들은 상기 복수의 픽실레이트식 저항성 히터들을 상기 버스 바 전력 분배 층에 전기적으로 커플링시킴 -;
    상기 버스 바 전력 분배 층 아래에 배치되는 제 4 유전체 층 - 상기 제 4 유전체 층은 상기 제 3 유전체 층과 제 4 유전체 층 사이의 경계를 가짐 -; 및
    상기 제 4 유전체 층 아래에 배치되는 금속 베이스 - 상기 금속 베이스는 내부에 수납된 복수의 히터 요소들을 포함함 - 를 포함하는,
    정전 척.
  2. 제 1 항에 있어서,
    상기 정상부 유전체 층은 상부에 배치된 복수의 표면 피쳐들(features)을 포함하는,
    정전 척.
  3. 제 2 항에 있어서,
    상기 정상부 유전체 층의 표면 피쳐들은 상기 정전 척을 위한 냉각 채널들을 제공하는,
    정전 척.
  4. 제 1 항에 있어서,
    상기 정상부 유전체 층은 웨이퍼 또는 기판을 위에 지지하도록 구성되는,
    정전 척.
  5. 제 1 항에 있어서,
    상기 정상부 유전체 층은 스프레이 유전체 물질을 포함하는,
    정전 척.
  6. 제 1 항에 있어서,
    상기 정상부 유전체 층 상에 배치된 솔리드 세라믹 플레이트를 더 포함하는,
    정전 척.
  7. 제 6 항에 있어서,
    상기 솔리드 세라믹 플레이트는 웨이퍼 또는 기판을 위에 지지하도록 구성되는,
    정전 척.
  8. 제 1 항에 있어서,
    상기 상부 금속 부분은 무선 주파수(RF) 파들을 위한 가이드를 제공하는,
    정전 척.
  9. 제 1 항에 있어서,
    상기 금속 베이스 아래에 배치되고 상기 금속 베이스에 용접되는 바닥부 플레이트를 더 포함하는,
    정전 척.
  10. 정전 척(ESC)을 제조하는 방법으로서,
    히터 요소들을 금속 베이스의 하우징들 내에 설치하는 단계;
    바닥부 플레이트를 상기 금속 베이스에 용접하여 상기 히터 요소들을 내부에 수납하는 단계;
    플라즈마 스프레이 또는 아크 아노다이징에 의해서 상기 금속 베이스 상에 제 4 유전체 층을 형성하는 단계;
    상기 제 4 유전체 층 상에 금속 층을 형성하고 그리고 상기 금속 층으로부터 버스 바 전력 분배 층을 형성하는 단계;
    상기 버스 바 전력 분배 층 상에 그리고 상기 제 4 유전체 층의 노출된 부분들 상에 제 3 유전체 층을 형성하는 단계;
    상기 제 3 유전체 층에 비아 홀들을 형성하여 상기 버스 바 전력 분배 층을 노출시키는 단계;
    복수의 전도성 비아들을 형성하기 위해서 금속으로 상기 비아 홀들을 충진하는 단계;
    상기 복수의 전도성 비아들 위에 배치되고 상기 복수의 전도성 비아들에 전기적으로 커플링되는 복수의 픽실레이트식 저항성 히터들을 형성하는 단계;
    상기 복수의 픽실레이트식 저항성 히터들 상에 제 2 유전체 층을 형성하는 단계;
    상기 제 2 유전체 층 상에 상부 금속 부분을 형성하고 부분적으로 상기 제 2 유전체 층을 둘러싸는 단계; 및
    상기 상부 금속 부분 상에 정상부 유전체 층을 형성하는 단계를 포함하는,
    정전 척 제조 방법.
  11. 제 10 항에 있어서,
    상기 정상부 유전체 층을 형성하는 단계는 플라즈마 스프레이 기술을 사용하는 단계를 포함하는,
    정전 척 제조 방법.
  12. 제 10 항에 있어서,
    상기 정상부 유전체 층의 정상부 표면의 복수의 표면 피쳐들을 기계가공하는 단계를 더 포함하는,
    정전 척 제조 방법.
  13. 제 10 항에 있어서,
    솔리드 세라믹 플레이트를 상기 정상부 유전체 층에 점착시키는 단계를 더 포함하는,
    정전 척 제조 방법.
  14. 에칭 시스템으로서,
    진공배기 디바이스, 가스 유입구 디바이스, 플라즈마 점화 디바이스, 및 검출기에 커플링된 챔버;
    상기 플라즈마 점화 디바이스와 커플링된 컴퓨팅 디바이스;
    정전 척(ESC)을 포함하는 샘플 홀더와 커플링된 전압 소스를 포함하고,
    상기 정전 척은 상기 챔버에 배치되고, 상기 정전 척은,
    정상부 유전체 층;
    상기 정상부 유전체 층 아래에 배치되는 상부 금속 부분;
    복수의 픽실레이트식 저항성 히터들 위에 배치되고 상기 상부 금속 부분에 의해 부분적으로 둘러싸이는 제 2 유전체 층;
    상기 제 2 유전체 층 아래에 배치되는 제 3 유전체 층 - 상기 제 3 유전체 층은 상기 제 2 유전체 층과 제 3 유전체 층 사이의 경계를 가짐 -;
    상기 제 3 유전체 층에 배치된 복수의 비아들;
    상기 복수의 비아들 아래에 배치되고 상기 복수의 비아들에 커플링된 버스 바 전력 분배 층 - 상기 복수의 비아들은 상기 복수의 픽실레이트식 저항성 히터들을 상기 버스 바 전력 분배 층에 전기적으로 커플링시킴 -;
    상기 버스 바 전력 분배 층 아래에 배치되는 제 4 유전체 층 - 상기 제 4 유전체 층은 상기 제 3 유전체 층과 제 4 유전체 층 사이의 경계를 가짐 -; 및
    상기 제 4 유전체 층 아래에 배치되는 금속 베이스 - 상기 금속 베이스는 내부에 수납된 복수의 히터 요소들을 포함함 - 를 포함하는,
    에칭 시스템.
  15. 제 14 항에 있어서,
    상기 정전 척의 상기 정상부 유전체 층은 상부에 배치된 복수의 표면 피쳐들을 포함하고, 상기 정상부 유전체 층의 상기 표면 피쳐들은 상기 정전 척을 위한 냉각 채널들을 제공하는,
    에칭 시스템.
  16. 제 14 항에 있어서,
    상기 정전 척의 상기 정상부 유전체 층은 웨이퍼 또는 기판을 위에 지지하도록 구성되는,
    에칭 시스템
  17. 제 14 항에 있어서,
    상기 정전 척의 상기 정상부 유전체 층은 스프레이 유전체 물질을 포함하는,
    에칭 시스템.
  18. 제 14 항에 있어서,
    상기 정전 척은,
    상기 정상부 유전체 층에 배치된 솔리드 세라믹 플레이트를 더 포함하고,
    상기 솔리드 세라믹 플레이트는 웨이퍼 또는 기판을 위에 지지하도록 구성되는,
    에칭 시스템.
  19. 제 14 항에 있어서,
    상기 정전 척의 상기 상부 금속 부분은 무선 주파수(RF) 파들을 위한 가이드를 제공하는,
    에칭 시스템.
  20. 제 14 항에 있어서,
    상기 정전 척은 상기 정전 척의 상기 금속 베이스 아래에 배치되고 상기 금속 베이스에 용접되는 바닥부 플레이트를 더 포함하는,
    에칭 시스템.
KR1020147032750A 2012-04-24 2013-04-23 진보된 rf 및 온도 균일성을 갖는 정전 척 KR101584538B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201261637500P 2012-04-24 2012-04-24
US61/637,500 2012-04-24
US201361775372P 2013-03-08 2013-03-08
US61/775,372 2013-03-08
US13/867,515 US8937800B2 (en) 2012-04-24 2013-04-22 Electrostatic chuck with advanced RF and temperature uniformity
US13/867,515 2013-04-22
PCT/US2013/037849 WO2013163220A1 (en) 2012-04-24 2013-04-23 Electrostatic chuck with advanced rf and temperature uniformity

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020157036563A Division KR20160006239A (ko) 2012-04-24 2013-04-23 진보된 rf 및 온도 균일성을 갖는 정전 척

Publications (2)

Publication Number Publication Date
KR20150013575A KR20150013575A (ko) 2015-02-05
KR101584538B1 true KR101584538B1 (ko) 2016-01-12

Family

ID=49379898

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020187015705A KR101958018B1 (ko) 2012-04-24 2013-04-23 진보된 rf 및 온도 균일성을 갖는 정전 척
KR1020157036563A KR20160006239A (ko) 2012-04-24 2013-04-23 진보된 rf 및 온도 균일성을 갖는 정전 척
KR1020147032750A KR101584538B1 (ko) 2012-04-24 2013-04-23 진보된 rf 및 온도 균일성을 갖는 정전 척

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020187015705A KR101958018B1 (ko) 2012-04-24 2013-04-23 진보된 rf 및 온도 균일성을 갖는 정전 척
KR1020157036563A KR20160006239A (ko) 2012-04-24 2013-04-23 진보된 rf 및 온도 균일성을 갖는 정전 척

Country Status (6)

Country Link
US (1) US8937800B2 (ko)
JP (2) JP5938140B2 (ko)
KR (3) KR101958018B1 (ko)
CN (2) CN105515450B (ko)
TW (1) TWI509732B (ko)
WO (1) WO2013163220A1 (ko)

Families Citing this family (305)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9338871B2 (en) 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9129911B2 (en) 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9681497B2 (en) 2013-03-12 2017-06-13 Applied Materials, Inc. Multi zone heating and cooling ESC for plasma process chamber
US10332772B2 (en) 2013-03-13 2019-06-25 Applied Materials, Inc. Multi-zone heated ESC with independent edge zones
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
TW201518538A (zh) 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
KR20170026360A (ko) 2014-06-17 2017-03-08 에바텍 아크티엔게젤샤프트 무선 주파수 션트를 구비한 정전척
WO2016003633A1 (en) 2014-07-02 2016-01-07 Applied Materials, Inc Apparatus, systems, and methods for temperature control of substrates using embedded fiber optics and epoxy optical diffusers
CN105474381B (zh) 2014-07-23 2018-06-05 应用材料公司 可调谐温度受控的基板支撑组件
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
CN113972162A (zh) 2014-08-15 2022-01-25 应用材料公司 在等离子体增强化学气相沉积系统中高温下使用压缩应力或拉伸应力处理晶片的方法和装置
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10002782B2 (en) * 2014-10-17 2018-06-19 Lam Research Corporation ESC assembly including an electrically conductive gasket for uniform RF power delivery therethrough
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
KR102288349B1 (ko) 2014-12-09 2021-08-11 삼성디스플레이 주식회사 정전 척 시스템과, 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
JP5962833B2 (ja) 2015-01-16 2016-08-03 Toto株式会社 静電チャック
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102308906B1 (ko) * 2015-03-26 2021-10-06 삼성디스플레이 주식회사 정전 척 시스템과, 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
JP6806704B2 (ja) * 2015-05-22 2021-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 方位角方向に調整可能なマルチゾーン静電チャック
US9779974B2 (en) * 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck
JP2017028111A (ja) * 2015-07-23 2017-02-02 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9691645B2 (en) * 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
TW202224081A (zh) * 2015-08-06 2022-06-16 美商應用材料股份有限公司 用於晶圓處理系統的熱管理系統及方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10186437B2 (en) * 2015-10-05 2019-01-22 Lam Research Corporation Substrate holder having integrated temperature measurement electrical devices
CA2979299A1 (en) * 2015-10-19 2017-04-27 Novena Tec Inc. Process monitoring device
US11724354B2 (en) * 2015-12-10 2023-08-15 Ioneer, Llc Apparatus and method for determining parameters of process operation
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
JP6238097B1 (ja) * 2016-07-20 2017-11-29 Toto株式会社 静電チャック
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10685861B2 (en) 2016-08-26 2020-06-16 Applied Materials, Inc. Direct optical heating of substrates through optical guide
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US20180213608A1 (en) * 2017-01-20 2018-07-26 Applied Materials, Inc. Electrostatic chuck with radio frequency isolated heaters
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
JP6858656B2 (ja) * 2017-06-26 2021-04-14 東京エレクトロン株式会社 給電部材及び基板処理装置
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US11515192B2 (en) 2017-10-26 2022-11-29 Kyocera Corporation Sample holder
KR101957784B1 (ko) 2017-11-10 2019-03-13 이성희 시합용 전자 호구의 운용 장치
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11004710B2 (en) * 2019-06-04 2021-05-11 Applied Materials, Inc. Wafer placement error detection based on measuring a current through an electrostatic chuck and solution for intervention
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN113130279B (zh) * 2019-12-30 2023-09-29 中微半导体设备(上海)股份有限公司 下电极组件、等离子体处理装置及其工作方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
CN113707591A (zh) * 2020-05-22 2021-11-26 细美事有限公司 静电卡盘和其制造方法以及基板处理装置
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
KR102368832B1 (ko) * 2021-07-08 2022-03-02 에이피티씨 주식회사 다중 가열 영역 구조의 정전 척
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005032842A (ja) 2003-07-08 2005-02-03 Ibiden Co Ltd 電極構造およびセラミック接合体
JP2007317772A (ja) 2006-05-24 2007-12-06 Shinko Electric Ind Co Ltd 静電チャック装置
JP2008166508A (ja) 2006-12-28 2008-07-17 Shinko Electric Ind Co Ltd 静電チャック及びその製造方法、及び基板温調固定装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ES2086429T3 (es) * 1990-04-20 1996-07-01 Applied Materials Inc Mecanismo de sujecion para la deposicion en fase de vapor por proceso fisico.
JP2005260251A (ja) * 1999-04-06 2005-09-22 Tokyo Electron Ltd 載置台、プラズマ処理装置、および載置台の製造方法
JP3396468B2 (ja) * 1999-10-26 2003-04-14 イビデン株式会社 ウエハプローバおよびウエハプローバに使用されるセラミック基板
WO2002089531A1 (en) * 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
JP2003017223A (ja) * 2001-07-03 2003-01-17 Onahama Seisakusho:Kk セラミックヒータ及びセラミックヒータ内臓型静電チャック
JP4570345B2 (ja) * 2003-09-18 2010-10-27 株式会社三幸 熱処理炉
JP4421874B2 (ja) * 2003-10-31 2010-02-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2006140367A (ja) * 2004-11-15 2006-06-01 Sumitomo Electric Ind Ltd 半導体製造装置用加熱体およびこれを搭載した加熱装置
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
KR20070050111A (ko) 2005-11-10 2007-05-15 주성엔지니어링(주) 균일한 온도제어를 위한 정전척 및 이를 포함하는 플라즈마발생장치
JP4855177B2 (ja) * 2006-08-10 2012-01-18 住友大阪セメント株式会社 静電チャック装置
JP2008115440A (ja) * 2006-11-06 2008-05-22 Shinko Electric Ind Co Ltd 基板加熱装置
US7667944B2 (en) 2007-06-29 2010-02-23 Praxair Technology, Inc. Polyceramic e-chuck
JP2009152475A (ja) 2007-12-21 2009-07-09 Shinko Electric Ind Co Ltd 基板温調固定装置
CN102124554A (zh) * 2008-08-20 2011-07-13 爱发科股份有限公司 静电卡盘的使用极限判别方法
KR20100046909A (ko) * 2008-10-28 2010-05-07 주성엔지니어링(주) 정전 흡착 장치와 그의 제조방법
JP5250408B2 (ja) * 2008-12-24 2013-07-31 新光電気工業株式会社 基板温調固定装置
US20110024049A1 (en) * 2009-07-30 2011-02-03 c/o Lam Research Corporation Light-up prevention in electrostatic chucks
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
CA2847437C (en) * 2011-08-30 2017-03-14 Watlow Electric Manufacturing Company Thermal array system

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005032842A (ja) 2003-07-08 2005-02-03 Ibiden Co Ltd 電極構造およびセラミック接合体
JP2007317772A (ja) 2006-05-24 2007-12-06 Shinko Electric Ind Co Ltd 静電チャック装置
JP2008166508A (ja) 2006-12-28 2008-07-17 Shinko Electric Ind Co Ltd 静電チャック及びその製造方法、及び基板温調固定装置

Also Published As

Publication number Publication date
CN104247002A (zh) 2014-12-24
TW201401426A (zh) 2014-01-01
CN105515450B (zh) 2020-02-18
JP2015517225A (ja) 2015-06-18
TWI509732B (zh) 2015-11-21
JP5938140B2 (ja) 2016-06-22
KR20150013575A (ko) 2015-02-05
JP2016146487A (ja) 2016-08-12
US8937800B2 (en) 2015-01-20
CN105515450A (zh) 2016-04-20
KR20160006239A (ko) 2016-01-18
WO2013163220A1 (en) 2013-10-31
CN104247002B (zh) 2017-03-15
US20130279066A1 (en) 2013-10-24
KR20180064569A (ko) 2018-06-14
JP6290275B2 (ja) 2018-03-07
KR101958018B1 (ko) 2019-03-13

Similar Documents

Publication Publication Date Title
KR101584538B1 (ko) 진보된 rf 및 온도 균일성을 갖는 정전 척
JP7169319B2 (ja) ガス孔に開口縮小プラグを有する大電力静電チャック
KR101726665B1 (ko) 가변 픽셀형 가열을 이용하는 정전 척
US10790180B2 (en) Electrostatic chuck with variable pixelated magnetic field
KR101643828B1 (ko) 반도체 처리를 위한 평면형 열적 존을 갖는 열적 플레이트
TW201316399A (zh) 電漿腔室之充電柵
TW201243942A (en) Focus ring and plasma processing apparatus
KR20170044012A (ko) 열 초크를 갖는 정전 척
US20170194174A1 (en) Quad chamber and platform having multiple quad chambers
TWI544106B (zh) Electrolyte processing device
US11410869B1 (en) Electrostatic chuck with differentiated ceramics
JP2022534141A (ja) ヒータが一体化されたチャンバリッド
KR102428349B1 (ko) 지지 유닛, 이를 포함하는 기판 처리 장치 및 지지 유닛 제조 방법
TW202249167A (zh) 具有金屬桿的靜電卡盤

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190102

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20200103

Year of fee payment: 5