CN105225925A - 用于互连件覆盖应用的金属互连件中的碳基污染物的清洁 - Google Patents
用于互连件覆盖应用的金属互连件中的碳基污染物的清洁 Download PDFInfo
- Publication number
- CN105225925A CN105225925A CN201510359303.7A CN201510359303A CN105225925A CN 105225925 A CN105225925 A CN 105225925A CN 201510359303 A CN201510359303 A CN 201510359303A CN 105225925 A CN105225925 A CN 105225925A
- Authority
- CN
- China
- Prior art keywords
- silane
- based agent
- copper
- substrate
- dielectric
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 229910052751 metal Inorganic materials 0.000 title claims abstract description 80
- 239000002184 metal Substances 0.000 title claims abstract description 80
- 229910052799 carbon Inorganic materials 0.000 title claims abstract description 46
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 title claims abstract description 41
- 239000003344 environmental pollutant Substances 0.000 title claims abstract description 33
- 231100000719 pollutant Toxicity 0.000 title claims abstract description 33
- 238000000034 method Methods 0.000 claims abstract description 132
- 239000010949 copper Substances 0.000 claims abstract description 101
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims abstract description 98
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims abstract description 98
- 229910000077 silane Inorganic materials 0.000 claims abstract description 98
- 229910052802 copper Inorganic materials 0.000 claims abstract description 97
- 239000003795 chemical substances by application Substances 0.000 claims abstract description 96
- 239000000758 substrate Substances 0.000 claims abstract description 95
- 229910017052 cobalt Inorganic materials 0.000 claims abstract description 57
- 239000010941 cobalt Substances 0.000 claims abstract description 57
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims abstract description 53
- 230000008021 deposition Effects 0.000 claims abstract description 45
- PWHULOQIROXLJO-UHFFFAOYSA-N Manganese Chemical compound [Mn] PWHULOQIROXLJO-UHFFFAOYSA-N 0.000 claims abstract description 8
- 229910052748 manganese Inorganic materials 0.000 claims abstract description 8
- 239000011572 manganese Substances 0.000 claims abstract description 8
- 230000008569 process Effects 0.000 claims description 76
- 239000007789 gas Substances 0.000 claims description 31
- 239000004065 semiconductor Substances 0.000 claims description 23
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 claims description 18
- 238000010438 heat treatment Methods 0.000 claims description 14
- 229920002120 photoresistant polymer Polymers 0.000 claims description 11
- 229910052786 argon Inorganic materials 0.000 claims description 10
- 229910017840 NH 3 Inorganic materials 0.000 claims description 9
- 239000011261 inert gas Substances 0.000 claims description 9
- 229910052759 nickel Inorganic materials 0.000 claims description 9
- 229910052734 helium Inorganic materials 0.000 claims description 8
- 150000001869 cobalt compounds Chemical class 0.000 claims description 7
- 125000000058 cyclopentadienyl group Chemical group C1(=CC=CC1)* 0.000 claims description 6
- 239000000203 mixture Substances 0.000 claims description 6
- 229910052757 nitrogen Inorganic materials 0.000 claims description 6
- 238000000059 patterning Methods 0.000 claims description 6
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 6
- YUYCVXFAYWRXLS-UHFFFAOYSA-N trimethoxysilane Chemical compound CO[SiH](OC)OC YUYCVXFAYWRXLS-UHFFFAOYSA-N 0.000 claims description 6
- 150000002902 organometallic compounds Chemical class 0.000 claims description 5
- 238000009832 plasma treatment Methods 0.000 claims description 5
- 125000003739 carbamimidoyl group Chemical group C(N)(=N)* 0.000 claims description 4
- 230000008676 import Effects 0.000 claims description 4
- 230000015572 biosynthetic process Effects 0.000 claims description 3
- NBBQQQJUOYRZCA-UHFFFAOYSA-N diethoxymethylsilane Chemical compound CCOC([SiH3])OCC NBBQQQJUOYRZCA-UHFFFAOYSA-N 0.000 claims description 3
- NKSJNEHGWDZZQF-UHFFFAOYSA-N ethenyl(trimethoxy)silane Chemical compound CO[Si](OC)(OC)C=C NKSJNEHGWDZZQF-UHFFFAOYSA-N 0.000 claims description 3
- GCSJLQSCSDMKTP-UHFFFAOYSA-N ethenyl(trimethyl)silane Chemical compound C[Si](C)(C)C=C GCSJLQSCSDMKTP-UHFFFAOYSA-N 0.000 claims description 3
- RSIHJDGMBDPTIM-UHFFFAOYSA-N ethoxy(trimethyl)silane Chemical compound CCO[Si](C)(C)C RSIHJDGMBDPTIM-UHFFFAOYSA-N 0.000 claims description 3
- QULMGWCCKILBTO-UHFFFAOYSA-N n-[dimethylamino(dimethyl)silyl]-n-methylmethanamine Chemical compound CN(C)[Si](C)(C)N(C)C QULMGWCCKILBTO-UHFFFAOYSA-N 0.000 claims description 3
- CWMFRHBXRUITQE-UHFFFAOYSA-N trimethylsilylacetylene Chemical compound C[Si](C)(C)C#C CWMFRHBXRUITQE-UHFFFAOYSA-N 0.000 claims description 3
- KAHVZNKZQFSBFW-UHFFFAOYSA-N n-methyl-n-trimethylsilylmethanamine Chemical compound CN(C)[Si](C)(C)C KAHVZNKZQFSBFW-UHFFFAOYSA-N 0.000 claims description 2
- 239000000376 reactant Substances 0.000 claims description 2
- 239000000463 material Substances 0.000 abstract description 15
- 238000009792 diffusion process Methods 0.000 abstract description 12
- 238000005253 cladding Methods 0.000 abstract description 8
- 230000004888 barrier function Effects 0.000 abstract description 5
- 238000004140 cleaning Methods 0.000 abstract description 3
- 239000007800 oxidant agent Substances 0.000 abstract 1
- 230000001590 oxidative effect Effects 0.000 abstract 1
- 230000001681 protective effect Effects 0.000 abstract 1
- 238000006884 silylation reaction Methods 0.000 abstract 1
- 239000010410 layer Substances 0.000 description 86
- 238000000151 deposition Methods 0.000 description 44
- 239000000523 sample Substances 0.000 description 35
- 239000002243 precursor Substances 0.000 description 15
- 235000012431 wafers Nutrition 0.000 description 15
- -1 and wherein Substances 0.000 description 11
- 238000012545 processing Methods 0.000 description 11
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 10
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 10
- 238000005229 chemical vapour deposition Methods 0.000 description 10
- 238000005240 physical vapour deposition Methods 0.000 description 9
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 7
- 238000010586 diagram Methods 0.000 description 7
- 238000007781 pre-processing Methods 0.000 description 7
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 6
- 239000003638 chemical reducing agent Substances 0.000 description 6
- 229910052710 silicon Inorganic materials 0.000 description 6
- 239000010703 silicon Substances 0.000 description 6
- 229910045601 alloy Inorganic materials 0.000 description 5
- 239000000956 alloy Substances 0.000 description 5
- 229910021529 ammonia Inorganic materials 0.000 description 5
- 230000001276 controlling effect Effects 0.000 description 5
- 239000003989 dielectric material Substances 0.000 description 5
- 230000005855 radiation Effects 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 238000006243 chemical reaction Methods 0.000 description 4
- 238000010574 gas phase reaction Methods 0.000 description 4
- 238000001459 lithography Methods 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- 125000003545 alkoxy group Chemical group 0.000 description 3
- 238000004070 electrodeposition Methods 0.000 description 3
- 239000010408 film Substances 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- 239000001257 hydrogen Substances 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 150000002739 metals Chemical class 0.000 description 3
- 150000003961 organosilicon compounds Chemical class 0.000 description 3
- 238000005192 partition Methods 0.000 description 3
- 229920001296 polysiloxane Polymers 0.000 description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 239000010409 thin film Substances 0.000 description 3
- ATNSDOISPHKKST-UHFFFAOYSA-N C(=O)=CC(C)(C)C#C.[Co] Chemical compound C(=O)=CC(C)(C)C#C.[Co] ATNSDOISPHKKST-UHFFFAOYSA-N 0.000 description 2
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 2
- 229910006283 Si—O—H Inorganic materials 0.000 description 2
- 125000003368 amide group Chemical group 0.000 description 2
- 239000003575 carbonaceous material Substances 0.000 description 2
- XLJKHNWPARRRJB-UHFFFAOYSA-N cobalt(2+) Chemical compound [Co+2] XLJKHNWPARRRJB-UHFFFAOYSA-N 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 150000001993 dienes Chemical class 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 238000002488 metal-organic chemical vapour deposition Methods 0.000 description 2
- CSJDCSCTVDEHRN-UHFFFAOYSA-N methane;molecular oxygen Chemical compound C.O=O CSJDCSCTVDEHRN-UHFFFAOYSA-N 0.000 description 2
- 230000008520 organization Effects 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 238000002203 pretreatment Methods 0.000 description 2
- 238000012958 reprocessing Methods 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 238000001228 spectrum Methods 0.000 description 2
- 238000004528 spin coating Methods 0.000 description 2
- 125000001424 substituent group Chemical group 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- 125000000391 vinyl group Chemical group [H]C([*])=C([H])[H] 0.000 description 2
- 229920002554 vinyl polymer Polymers 0.000 description 2
- NWZSZGALRFJKBT-KNIFDHDWSA-N (2s)-2,6-diaminohexanoic acid;(2s)-2-hydroxybutanedioic acid Chemical compound OC(=O)[C@@H](O)CC(O)=O.NCCCC[C@H](N)C(O)=O NWZSZGALRFJKBT-KNIFDHDWSA-N 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- 229910018565 CuAl Inorganic materials 0.000 description 1
- 241001269238 Data Species 0.000 description 1
- 229910002808 Si–O–Si Inorganic materials 0.000 description 1
- HCHKCACWOHOZIP-UHFFFAOYSA-N Zinc Chemical compound [Zn] HCHKCACWOHOZIP-UHFFFAOYSA-N 0.000 description 1
- 125000002777 acetyl group Chemical group [H]C([H])([H])C(*)=O 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- 125000000217 alkyl group Chemical group 0.000 description 1
- 150000001343 alkyl silanes Chemical class 0.000 description 1
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 description 1
- 150000001412 amines Chemical class 0.000 description 1
- 229910000085 borane Inorganic materials 0.000 description 1
- 150000001721 carbon Chemical class 0.000 description 1
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- UMYVESYOFCWRIW-UHFFFAOYSA-N cobalt;methanone Chemical compound O=C=[Co] UMYVESYOFCWRIW-UHFFFAOYSA-N 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 125000000118 dimethyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 125000002147 dimethylamino group Chemical group [H]C([H])([H])N(*)C([H])([H])[H] 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 150000002148 esters Chemical class 0.000 description 1
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 229910000078 germane Inorganic materials 0.000 description 1
- IKDUDTNKRLTJSI-UHFFFAOYSA-N hydrazine monohydrate Substances O.NN IKDUDTNKRLTJSI-UHFFFAOYSA-N 0.000 description 1
- 238000005286 illumination Methods 0.000 description 1
- 239000006101 laboratory sample Substances 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- WSFSSNUMVMOOMR-NJFSPNSNSA-N methanone Chemical compound O=[14CH2] WSFSSNUMVMOOMR-NJFSPNSNSA-N 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 230000003534 oscillatory effect Effects 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000006116 polymerization reaction Methods 0.000 description 1
- 238000001556 precipitation Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 150000003254 radicals Chemical class 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 125000000467 secondary amino group Chemical group [H]N([*:1])[*:2] 0.000 description 1
- 230000035945 sensitivity Effects 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 238000003756 stirring Methods 0.000 description 1
- UORVGPXVDQYIDP-UHFFFAOYSA-N trihydridoboron Substances B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 1
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 description 1
- 229940094989 trimethylsilane Drugs 0.000 description 1
- 238000009281 ultraviolet germicidal irradiation Methods 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 230000008016 vaporization Effects 0.000 description 1
- 229910052725 zinc Inorganic materials 0.000 description 1
- 239000011701 zinc Substances 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0227—Pretreatment of the material to be coated by cleaning or etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/16—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/02068—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
- H01L21/02074—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76826—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76849—Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76883—Post-treatment or after-treatment of the conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
本发明涉及用于互连件覆盖应用的金属互连件中的碳基污染物的清洁。驻留在铜线和电介质扩散阻挡层之间的接口处的保护性覆盖物用于改善互连件的各种操作特性。诸如含钴覆盖物或含锰覆盖物之类的覆盖物使用CVD或者ALD方法在存在暴露的电介质的条件下选择性地沉积到暴露的铜线上。所述覆盖材料的沉积受到铜表面上的含碳污染物的影响,其会导致覆盖层的增长较差或者不均匀。在沉积覆盖物之前从铜表面去除含碳污染物的方法涉及使含有暴露铜表面的衬底与硅烷基化剂在第一温度下接触以在铜表面上形成已反应的硅烷基化剂层,接着在更高的温度下加热衬底以从铜表面释放已反应的硅烷基化剂。
Description
技术领域
本发明涉及在部分制成的集成电路上形成材料层的方法。具体地说,本发明涉及用于互连件覆盖应用的清洁金属互连件中的碳基污染物的方法。
背景技术
镶嵌处理是用于在集成电路上形成金属线的方法。该方法涉及在形成于电介质层(层间电介质)中的沟槽和通孔中形成镶嵌式金属线。镶嵌处理通常是一种优选的方法,因为此方法相比于其他方法需要较少的处理步骤,并提供了较高的产率。该方法也特别适合于不能轻易通过等离子体蚀刻所图案化的金属(诸如铜)。
在典型的镶嵌处理中,金属沉积到图案化的电介质上以填充形成在电介质层中的通孔和沟槽。所得的金属化层通常直接形成在承载有源器件的层上或者形成在下伏的金属化层上。电介质扩散阻挡材料(如碳化硅或氮化硅)薄层沉积在相邻的金属化层之间,以防止金属扩散到电介质的主体层。在一些情况下,碳化硅或氮化硅电介质扩散阻挡层还兼作在层间电介质(ILD)的图案化期间的蚀刻停止层。
在典型的集成电路(IC)中,若干金属化层一层一层地沉积以形成堆叠,其中,金属填充的通孔和沟槽充当集成电路导电路径。一个金属化层的导电路径通过一系列镶嵌互连件被连接到下伏层或上覆层的导电路径。
这些互连件的制造提出了若干挑战,随着IC器件特征的尺寸不断缩小,这些挑战变得越来越显著。例如,铜金属与上覆的电介质扩散阻挡层的粘附通常是较差的,这导致所形成的IC器件的可靠性降低。此外,铜线尺寸的大幅降低导致电迁移增加。在一些情况下,覆盖层沉积在铜的顶部上以解决这些问题并提高互连件的稳定性。
发明内容
在集成电路制造期间所遇到的一个挑战性问题是带有含碳残余物的金属线表面的污染。这种污染的存在会阻碍覆盖物在金属线上的沉积。例如,当含金属的覆盖物(如含钴覆盖物或含锰覆盖物)通过化学气相沉积(CVD)或原子层沉积(ALD)沉积在受碳污染的表面上时,可能导致低的沉积速率、斑块状和不均匀的沉积。此外,当沉积含金属的导电覆盖物层时,这样的覆盖层应当选择性地沉积在金属线表面上而不应当沉积在ILD表面周围。在许多情况下,金属线的表面上的碳基污染物的存在减少了这种沉积的选择性。
含有氧化物物质(如铜氧化物)的污染可通过用还原剂处理衬底(例如,通过等离子体或还原环境下的热处理)被容易除去时,含有含碳物质的污染一般不容易处理。出乎意料的是,发现了用于使用硅烷基化剂从金属表面去除碳基污染物的处理。这种处理可用于去除碳基污染物(例如含有碳-碳和/或碳-氧键的污染物)以清洁诸如铜、钴和镍(包括它们的合金)之类的金属。
在一个方面中,本发明提供了一种用于形成半导体器件结构的方法。该方法涉及:(a)提供包含暴露金属层(如铜,钴,镍)和暴露电介质层的半导体衬底;(b)使所提供的半导体衬底与硅烷基化剂在第一温度下接触,以使所述硅烷基化剂与所述暴露金属层的表面上的含碳污染物反应;以及(c)在接触之后,在更高温度下加热所述半导体衬底以从所述半导体衬底的金属表面去除已反应的硅烷基化剂。接下来,在从所述金属表面去除所述已反应的硅烷基化剂之后,处理继续,选择性地沉积覆盖层在所述金属表面上,而不将相同覆盖层沉积在所述电介质层上。在覆盖层被选择性地形成在金属线上之后,在所述覆盖金属层上和所述暴露电介质上沉积电介质扩散阻挡层(例如,掺杂的或者非掺杂的碳化硅或者氮化硅)。
所提供的方法特别适用于含金属覆盖层的沉积,所述金属覆盖层如钴覆盖层和锰覆盖层。在一些实施方式中,所述覆盖层是通过使经处理的所述衬底与有机金属化合物接触而形成。例如,所述衬底可与包含钴和配体的有机钴合物接触,所述配体选自由烯丙基、脒基(amidinate)、二氮杂二烯基和环戊二烯基所构成的组。用于选择性沉积含钴覆盖层的适当有机钴化合物的实例包括但不限于:羰基叔丁基乙炔钴、苯并钴(cobaltacene)、环戊二烯基二羰基钴(II)、钴脒(cobaltamidinate)、二氮杂二烯钴、以及这些化合物的组合。
在一些实施方式中,所提供的方法还包括在使所述衬底与所述硅烷基化剂接触之前预处理所述衬底以调整所述衬底的表面。能够执行预处理来使电介质表面针对覆盖材料的沉积和/或从金属表面去除金属氧化物(如铜氧化物)更加惰性。预处理能够通过直接等离子体处理、远程等离子体处理、UV处理和在包含Ar、He、N2、NH3和H2中的至少一种气体内的热处理中的一种或者多种处理来执行。为了防止衬底的再次污染,使衬底在预处理之后并且在与硅烷基化剂接触之前不暴露于大气。
使用所述硅烷基化剂的处理优选地在介于约100和约300℃之间的温度下并且在约0.5至20托之间的压强下执行。诸如氩和/或氦之类的惰性气体能够与硅烷基化剂流一起供给。在一些实施方式中,所述惰性气体的流率比所述硅烷基化剂的流率大至少约10倍。合适的硅烷基化剂的实例包括三甲氧基硅烷、二乙氧基甲基硅烷、二甲基氨基三甲基硅烷、乙氧基三甲基硅烷、双-二甲基氨基二甲基硅烷、乙烯基三甲基硅烷、乙烯基三甲氧基硅烷、三甲基硅烷基乙炔、(3-巯基丙基)三甲氧基硅烷、苯基三甲氧基硅烷以及它们的组合。
在用硅烷基化剂处理结束并且硅烷基化剂的流动停止之后,加热衬底以从金属表面驱离已反应的硅烷基化剂。在一些实施方式中,所述加热是在介于约120和约450℃之间的温度下在气体内执行,所述气体选自由Ar、He、N2、NH3、H2和它们的混合物所构成的组。
在一些实施方式中,所述电介质层还能在用硅烷基化剂处理期间与硅烷基化剂反应。在一些实施方式中,所述电介质在与所述硅烷基化剂反应时针对覆盖层的沉积而变得钝化,由此增加了覆盖沉积处理的选择性。
在一些实施方式中,所提供的方法被集成到处理方案内,该处理方案包括光刻图案化并且还包括:施加光致抗蚀剂到所述衬底;将所述光致抗蚀剂暴露于光;将所述光致抗蚀剂图案化并且将图案转印到所述衬底;以及从所述衬底选择性地去除所述光致抗蚀剂。
在另一方面中,本发明还提供了一种用于在晶片衬底上形成半导体器件结构的装置。该装置包括:处理腔,其具有用于导入气相的或者挥发性的反应物的进口;晶片衬底支撑件,其用于在所述处理腔内处理所述晶片衬底的期间将所述晶片衬底保持在适当位置;以及控制器,其包含用于执行本发明所提供的方法的程序指令。例如所述控制器可以包含程序指令,所述程序指令用于:(i)使具有暴露电介质层和暴露金属层的所述晶片衬底在第一温度下与硅烷基化剂接触,以使所述硅烷基化剂与所述暴露金属层的表面上的含碳污染物反应,其中所述金属选自由铜、钴和镍所构成的组;(ii)在接触之后,在更高的温度下加热所述晶片衬底以从所述晶片衬底的所述金属表面去除所述已反应的硅烷基化剂;以及(iii)在从所述金属表面去除所述已反应的硅烷基化剂之后,选择性地沉积覆盖层在所述金属表面上,而不将相同覆盖层沉积在所述电介质层上。
在一些实施方式中,提供了一种系统,其中该系统包括本文所述的装置和步进曝光机(stepper)。
在另一方面,本发明提供了一种非暂时性计算机可读介质,其中所述介质包括用于沉积装置的程序指令,所述程序指令包含用于执行本文所述方法中的任意操作的代码。
附图说明
图1A-1D示出了根据本文所提供的一些实施方式的选择性覆盖处理期间所生成的器件结构的示意性横截面图。
图2呈现了根据本文所提供的一些实施方式的覆盖处理的处理流程图。
图3呈现了根据本文所提供的实施方式的适用于去除碳基污染物的处理腔的示意图。
图4A是示意了通过化学机械抛光(CMP)平坦化的电沉积铜层的铜表面上存在碳的X射线光电子能谱(XPS)图。
图4B是示意了通过物理气相沉积(PVD)所沉积的铜层的铜表面上存在碳的XPS图。
图5是示意了用硅烷基化剂处理之后的铜表面上的碳和硅的含量。
图6是示意了针对在不同条件下所处理的样品的衬底表面组成的图表。
图7A是示意了经过了不同条件下的处理之后的电介质和铜上的钴沉积物的柱状图。
图7B是示意了经过了不同条件下的处理之后的电介质和铜上的钴沉积物的柱状图。
具体实施方式
提供了用于从在半导体衬底上的金属表面去除含碳污染物的方法和装置。污染物是通过用硅烷化试剂处理金属表面来去除。所提供的方法可以用来清洁铜、钴和镍的表面并且能够用来准备这些表面以用于覆盖层的CVD和ALD沉积。
术语“半导体衬底”和“部分制成的半导体装置”可互换使用,并且包括包含位于衬底中任何位置的半导体材料的衬底。应理解,除了半导体材料,半导体衬底通常还包括金属层和介电材料层。合适的半导体衬底的一个例子是包含由镶嵌处理形成的一个或多个金属化层的硅晶片。本文所提供的方法可以在后端和前端处理都使用。
术语“铜”、“钴”和“镍”既包括纯金属也包括这些金属的合金,其中铜、钴、镍的浓度或者这些金属的组合的浓度为至少约70原子%。如本文所用的铜的实例包括95-99%的纯铜金属以及含有至少70原子%的铜的铜合金,如CuAl合金以及CuMn合金。为清楚起见,这些方法将随后使用铜作为例子进行说明。要理解,钴和镍(包括其合金)的清洁可类似进行。
术语“覆盖层”包括沉积到经清洁的金属层的上部上和/或沉积在该上部内的层。覆盖层的实施例包括在镶嵌处理中沉积到铜线上的钴或锰层。
术语“选择性沉积”(其中覆盖层沉积在金属表面上,而不是沉积在电介质表面上)指的是使金属上的覆盖层的厚度比电介质上的覆盖层的厚度大至少10倍这样的沉积。如本文使用的术语“去除”以及“清洁”既包括部分去除也包括完全去除。
从金属表面去除含碳污染物可以在各种暴露电介质的存在下执行。在一些实施方式中,衬底包含暴露的金属层和暴露的电介质层,其中该电介质是低k电介质(3.2>k>2.7)、超低k(ULK)电介质(2.7>k>2.2)或极低k(ELK)电介质(k<2.2),其中k是介电常数。在前端处理中使用的一些实施方式中,电介质是致密的硅氧化物。合适的电介质的实例包括氧化硅基电介质,诸如碳掺杂的硅氧化物材料、有机电介质、多孔电介质等。所述方法对于在ULK和ELK电介质存在下处理金属层是特别有利的,因为这些方法可以在一些实施方式中在不使用等离子体的温和的条件下执行,例如甚至不破坏机械特性最弱的ULK和ELK电介质。合适的电介质的实例包括聚合的CVD沉积膜,其具有带有CH3终止端的Si-O-Si交联(如)和其它的CVD沉积的电介质(如BlackDiamond)。也可使用由旋涂方法沉积的电介质。
在一些实施方式中,用硅烷基化剂处理金属层的同时使电介质改性并且针对覆盖材料的沉积使其有惰性,从而改善覆盖物沉积的选择性。例如,在一些实施方式中,硅烷基化剂可以将电介质层上的-OH基团硅烷基化,从而使该电介质针对覆盖前体是有惰性的。含有-OH基团的电介质,如Si-O-H基团可以与在覆盖化学物质中使用的有机金属化合物偶然地进行反应,导致Si-O-金属基团的形成,并且导致覆盖处理的更小选择性。在一些实施方式中,硅烷基化剂降低了电介质的表面上的游离Si-O-H基团的浓度,从而改善了覆盖沉积的选择性。
图1A-1D示出了根据本文提供的实施方式的处理过程中得到的部分制成的半导体器件结构。只有顶部金属化层被示出以保持清晰。该处理开始于图1A中所示意的结构(镶嵌结构),该结构包含具有嵌入式铜线105的电介质层101(例如,ULK电介质),其中铜线105通过薄层的扩散阻挡层103(例如,钽、氮化钽或钽/氮化钽双层)与电介质隔开。该结构的表面含有铜层,该铜层受到含碳污染物107污染,该含碳污染物107可包括含有碳-碳和碳-氧键的污染物。图1A中所提供的衬底是在通过化学机械研磨(CMP)工艺从衬底的场区域去除多余的铜和多余的扩散阻挡层材料之后获得的。然而应当指出,具有含碳物质的污染物不仅在CMP之后所分析的铜试样上被发现,甚至在衬底不经受CMP的情况下也可能存在。例如,碳污染物被发现在通过物理气相沉积(PVD)所沉积的铜层上,其中铜没有通过CMP进行平坦化。
接着,可选地预处理衬底,用于例如去除铜表面上的铜氧化物或者用于调节电介质101的表面,然后使用硅烷基化剂对衬底进行处理,从而使硅烷基化剂与含碳污染物反应。接着加热衬底以从铜表面去除已反应的硅烷基化剂,提供如图1B所示的具有清洁的铜表面的结构。
接着,覆盖层(诸如钴覆盖层109)被选择性地沉积在铜层105上而不被沉积到电介质101上。所述沉积可通过使衬底与有机钴前体和还原剂接触来进行。在一些实施方式中,介于约的覆盖材料(例如介于约的覆盖材料)沉积在铜线路上。在其他实施方式中,所沉积的钴被沉积在铜线的顶部内,并且在铜层上不设有任何额外的厚度。在一些实施方式中,钴既沉积在铜层上也沉积在铜层的内部。
接着,诸如掺杂或未掺杂的硅氧化物和/或掺杂或未掺杂的硅碳化物(例如,SiCN)之类的电介质扩散阻挡层或蚀刻停止层被沉积在衬底的整个表面上。所得到的结构1D示出了驻留在电介质层101的顶部和钴层109的顶部上的SiCN扩散阻挡层111。
去除含碳污染物的方法可以在各种处理方案中用作在利用对于污染物的存在敏感的方法(例如利用CVD和ALD)沉积材料之前的金属表面处理步骤。例如,在一些实施方式中,所述清洁方法可以在以下的处理方案中使用。首先提供一种含有第一金属化层和ILD的上覆层的半导体衬底。接着,蚀刻所述ILD以限定凹陷特征并且使第一金属化层的铜线的顶部暴露。接着,暴露的铜线被可选地预处理并且与硅烷基化剂接触以使硅烷基化剂与铜表面上的含碳污染物反应。接着加热衬底以从铜表面去除已反应的硅烷基化剂,然后覆盖物(例如,钴覆盖物)被选择性地沉积在清洁的铜层上。接着,在底部具有受覆盖的铜的凹陷特征可以例如通过电沉积被填充以金属。
图2提供了在利用硅烷基化剂处理清洁的铜层上选择性地沉积覆盖层的方法的过程流程图的一个实施例。在操作201,提供了具有暴露的铜层和暴露的电介质层的部分制成的半导体装置。该装置可类似于图1A中所示的结构。在另一个实施方式中,该装置可以是一种结构,该结构包括在ILD层中所制作的通孔底部处的暴露的铜。接着,在操作203中,可选地预处理衬底。预处理可以加热地执行(不使用等离子体),并且在一些实施方式中可以包括UV照射。在一些实施方式中,使用直接或远程等离子体进行预处理。在预处理中,衬底可以与例如H2或NH3等还原气体接触。在一些实施方式中,在预处理期间,衬底与例如N2、He或Ar等惰性气体接触。前处理通常在介于约100-400℃的温度以及在介于约0.5至10托的压强下执行。当在预处理期间使用等离子体时,可以使用介于约100至6000W之间的功率执行。在这些实施方式中,当使用UV照射时,具有在介于约180和250nm的波长下发射的有效功率的紫外光源是优选的。在一些实施方式中,特别是在那些使用还原气体的实施方式中,预处理用于从铜表面清除铜氧化物。在其他实施方式中,执行预处理以调节电介质的表面并使电介质相对于覆盖层的沉积具有更加惰性。例如,存在NH3的UV照射显示出抑制钴在电介质上的生长。
在预处理完成后,重要的是不暴露衬底到大气环境以避免金属表面的再污染。因此,如果没有空气隔断(airbreak),那么衬底在操作203中与硅烷基化剂接触以使硅烷基化剂与铜表面上的含碳污染物反应。处理是在没有等离子体的条件下并且优选地(但非必需地)在没有UV照射的条件下执行。处理优选在介于约100-300℃之间的温度下和在介于约0.5至20托之间的压强下执行。硅烷基化剂通常以气体形式与例如N2、氩、氦等惰性气体一起供给或者与这些气体中的任意气体的混合物一起供给。在一些实施方式中,惰性气体的流率是硅烷基化剂的流率的至少十倍。在一些实施方式中,衬底暴露于硅烷基化剂持续5-120秒。硅烷基化剂是一种有机硅化合物。不希望受特定操作机制的束缚,相信合适的有机硅化合物含有一个或多个离去基团(如烷氧基,二烷基氨基等),这些离去基团在反应时被取代。优选地,硅烷基化剂不含有卤素取代基,因为这些卤素取代基可能会在离去时导致金属腐蚀。硅烷基化剂可以含有例如氢基、烷基、烷氧基、乙烯基、氨基、巯基、苯基和乙炔这样的取代基。合适的硅烷基化剂包括三甲氧基硅烷,二乙氧基甲基硅烷,二甲基胺基三甲基硅烷,乙氧基三甲基硅烷,双-二甲基氨基二甲基硅烷,乙烯基三甲基硅烷,乙烯基三甲氧基硅烷,三甲基硅烷基乙炔,(3-巯基丙基)三甲氧基硅烷,苯基三甲氧基硅烷。在一些实施方式中,优选的有机硅化合物的化学式是R1R2 3Si,其中R1选自由仲氨基(例如,二甲基氨基)、乙烯基、乙酰基和烷氧基(例如,乙氧基)组成的组,并且其中R2是烷基,例如甲基。处理后,在操作207中加热衬底以从铜表面去除已反应的硅烷基化剂。无需在利用硅烷基化剂处理后将衬底保持在惰性气体环境下。因此,在操作205和207之间可能存在空气隔断。加热能够在有介于约120-450℃之间的温度下执行。在一些实施方式中,加热是在比用硅烷基化剂处理衬底的温度大至少50℃(优选大至少100℃)的温度下执行的。例如,衬底可以在约250℃的温度下用硅烷基化剂进行处理,而加热可以在约400℃下进行。加热可以在惰性气体环境中或在存在还原气体的条件下进行。例如,加热可以在存在N2、氩、氦、NH3和H2中的一种或多种的条件下在介于约0.5-20托之间的压强下进行。在一个示例性的处理中,加热是在400℃的温度下在存在氩气的条件下在约15托的压强下执行持续约5分钟。
接着,在从铜表面上去除了硅烷基化剂之后,在操作209,覆盖层被选择性地沉积在铜表面上。可以实现大于20例如大于40(其中,选择比率指沉积在铜上的覆盖材料厚度与沉积在电介质材料上的覆盖材料厚度的比率)的选择比率。各种瓶盖可以使用CVD和ALD方法沉积到铜层。在一些实施方式中,钴覆盖材料是通过使用有机钴化合物作为前体的CVD进行沉积的。合适的有机钴化合物包括羰基叔丁基乙炔钴、苯并钴、环戊二烯基二羰基钴(II)、钴脒、二氮杂二烯钴、含有配体的变体以及这些化合物的组合。
要注意的是,由于本文所提供的清洁步骤,因此不能选择性沉积在未清洁表面上的一些有机钴前体成为合适的并且选择性沉积的钴。这些前体包括但不限于含有配体的有机金属钴前体,所述配体如烯丙基、脒基、环戊二烯基、二氮杂二烯基和醇氧基。有机金属钴化合物通常在与诸如氩气之类的惰性气体的混合物中以汽化形式提供。衬底与有机金属化合物和还原剂接触。结果发现,应优选使用相对低的温度来抑制有机金属化合物和还原剂之间的气相反应,该气相反应可能导致沉积选择比率降低。例如,可以使用介于约60-200℃(例如介于70-100℃)之间的处理温度以有效地促进在铜表面上的钴的沉积,同时对于待抑制的气相反应又是足够低的。此外,发现,相对低的压强也有利于抑制钴化合物和还原剂之间的气相反应,同时允许表面驱动的在铜上的沉积。在一些实施方式中,钴沉积是在约0.2-200托之间的压强下执行的。例如,在一些实施方式中,沉积是在约1托的压强下进行的。合适的还原剂包括肼、水合肼、烷基肼、1,1-二烷基肼、1,2-二烷基肼、氨、硅烷、二硅烷、三硅烷、锗烷、乙硼烷、甲醛、硼烷胺、二烷基锌、烷基铝化合物、烷基镓化合物、烷基铟化合物以及它们的组合。而在一个优选实施方式中,钴沉积是在没有等离子体的条件下执行,在替代实施方式中,可以使用氢等离子体和/或氨等离子体。在其它实施方式中,锰覆盖材料经由CVD或ALD通过使衬底与有机锰前体接触而进行沉积。合适的前体包括但不限于含有配体的有机金属锰前体,所述配体如烯丙基、脒基、环戊二烯基、二氮杂二烯基和醇氧基。
在覆盖层已沉积之后,扩散阻挡层可选地沉积在衬底上以接触覆盖层和电介质两者。适合的扩散阻挡层包括掺杂和非掺杂的SiC和SiN。这些层可以通过PECVD来沉积。例如,SiCN可以经由在含有前体以及含氮气体(例如,NH3)的气体形成等离子体通过PECVD进行沉积,该前体含有硅和碳(例如,烷基硅烷)。由于铜线上存在覆盖层,因此显著改善了这种扩散阻挡层对于铜的粘附性。
装置
在一般情况下,清洁铜线上的碳基污染物并形成保护覆盖物可以在允许引入挥发性前体且被配置以提供对反应条件的控制的任何类型的装置中执行,所述反应条件如室温度、前体流率、暴露时间等等。为了防止无意中氧化和污染衬底,通常优选以不将衬底暴露于周围环境中的方式执行操作201-211。在一个实施方式中,操作201-211以不破坏真空的方式在一个模块中按序执行。在一些实施方式中,操作201-211在一个模块中执行,所述模块具有位于一个室内的多个站,或具有多个室。可从LamReach公司(Fremont,CA)得到的VECTORTM模块是合适装置的一个实例。在其他实施方式中,预清洁和利用硅烷基化剂进行处理可以在一个装置中执行,并且随后的操作可以在用硅烷基化剂处理之后通过空气隔断而在不同的装置中执行。
示例性装置将包括一个或多个室或“反应器”(有时包括多个站),其容纳一个或多个晶片并且适于晶片处理。每个室可以容纳一个或多个晶片用于处理。所述一个或多个室将晶片保持在限定的一个位置或多个位置(在所述位置有或没有动作,例如旋转、振动或其它搅动)。图3提供了描绘被配置用于根据本文所提供的实施方式实现铜表面的清洁的不同反应器组件的简化框图。如图所示,反应器300包括处理室301,该处理室301包围反应器的其它组件并且用来容纳通过喷头303输送的处理气体。在反应器内,晶片基座307支撑晶片衬底309并且还包括用于加热衬底的加热块305。基座通常包括卡盘、叉或升降销,以将衬底在沉积反应期间和在沉积反应之间保持和传送。卡盘可以是静电卡盘、机械卡盘或作为可用于工业和/或研究用途的各种其他类型的卡盘。
处理气体经由入口311引入并通过气体管道315输送。多个源气体管道317连接到歧管319。气体可以是或者可以不是预先混合的。使用适当的阀和质量流量控制机构来确保在预处理期间和在用硅烷基化剂处理的期间输送适当的气体。在硅烷基化剂以液体形式输送的情况下,采用液体流量控制机构。然后使液体在输送过程中,在被加热到其汽化点之上的歧管内汽化并且与处理气体混合,然后到达沉积室。
处理气体经由出口321排出室300。真空泵323(例如,一个或两个阶段的机械干式泵和/或涡轮分子泵)通常将处理气体吸出并通过诸如节流阀或摆动阀之类的闭环回路受控流量限制装置在反应器内部维持适当的低压。
控制器325与所述装置电连接,并且被配置以用于控制所述预处理和清洁处理。控制器可包括用于提供必需的温度、压强、前体流和所提供方法的其它处理参数的程序指令。
在采用UV照射执行预处理或硅烷基化剂处理的那些实施方式中,装置还包括UV灯(未示出),该UV灯被配置以用UV光照射衬底并且与控制器相连。在用等离子体进行预处理的那些实施方式中,该装置还可以包括与控制器相连的用于高频(HF)和/或低频(LF)等离子体的等离子体发生器。在一些实施方式中,装置被配置成在预处理期间使用远程等离子体,并包括与处理室流体连通的等离子体发生室,其中,所述装置被配置用于在预处理期间从等离子体发生室递送自由基到处理室。
本发明的另一个方面是被配置以实现本文描述的方法的系统或模块。合适的系统包括用于完成处理操作的硬件和具有用于控制根据本发明的处理操作的指令的系统控制器。该系统控制器通常会包括一个或多个存储设备和被配置以执行指令的一个或多个处理器,从而使装置将执行根据本发明的方法。包含用于控制根据本发明的处理操作的指令的机器可读介质可耦合到系统控制器。例如,控制器可包括用于为衬底预处理、硅烷基化剂处理以及覆盖层沉积提供合适工艺条件的程序指令或内置逻辑。例如,控制器可包括用于在硅烷基化剂处理期间维持适当温度并且升高温度以去除硅烷基化剂的程序指令。控制器还可在预处理期间控制紫外灯,并且可包括用于衬底的紫外线照射的程序指令。在一般情况下,该控制器可以包括用于执行本文所提供的方法的任意步骤的指令。
本文上述的装置/处理可以与光刻图案化工具或处理结合使用,以例如制造或生产半导体器件、显示器、发光二极管、光伏电池板等。典型地但非必需地,这样的工具/处理将在常用制造设施内使用或一起执行。膜的光刻图案化通常包括以下步骤中的一部分或全部,每个步骤能以多个可能工具实现:(1)用旋涂或喷涂式工具在工件即衬底上施加光致抗蚀剂;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用诸如晶片步进曝光机之类的工具将光致抗蚀剂暴露于可见光或紫外线或X射线光;(4)使所述抗蚀剂显影,以便选择性地除去抗蚀剂并进而使用诸如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助式刻蚀工具将抗蚀剂图案转印到下伏膜或工件上;以及(6)使用例如RF或微波等离子体抗蚀剂剥离机等工具去除抗蚀剂。
实验实施例
实施例1:在通过不同的方法所沉积和处理的铜薄膜上得到X射线光电子能谱(XPS)数据。图4A示出了通过电镀沉积和用CMP平坦化的铜薄膜的XPS数据。在这个样品中观察到了分配到含碳污染物的两个峰值:约289eV的峰值被分配到碳-氧(碳酸酯)键,并且约285eV的峰值被分配到C-C或C-H键。图4B示出了通过PVD所沉积的铜薄膜(未进行后续的CMP处理)的XPS数据。在该样品中也观察到了分配到含碳污染物的两个峰值:约289eV的峰值被分配到碳-氧(羰基)键,并且约285eV的峰值被分配到C-C或C-H键。这两个图表均是指C1sXPS数据。这些数据说明,含碳污染物存在于由不同方法所沉积的铜层上,并且不限于由CMP中所使用的化学组合物而获得的污染物。
实施例2:碳和硅含量是在不同条件下用硅烷基化剂所处理的铜层的不同样品中通过XPS(分别使用C1s的积分面积和Si2p峰值)所测定的。图5中所示的曲线示出了硅含量(y轴)相对于总的碳含量(x轴)的依赖关系。得到了两列数据。以菱形示出的数据列是指经电沉积CMP处理的铜的样品。以方块示出的数据列是指经PVD沉积的铜的样品(没有通过CMP平坦化)。由此可以看出,在这两个数据列中,碳和硅含量是正相关关系,这示意了含碳污染物和硅烷基化剂之间的结合。
实施例3:在包含在用硅烷基化剂处理之前和之后的含铜层的样品上得到了碳(C1s)的XPS数据,其中所述处理包括加热以去除已反应的硅烷基化剂。在约285eV和289eV处的峰值强度大大降低。
实施例4:铜表面上的硅、铜、氧、碳和氮含量是在不同的条件下对层进行处理之后在经电沉积CMP处理的铜层上利用XPS测定的。结果示于图6中的表中。表的第一列列出了样品识别号。表的第二列表示特定样品是否进行了预处理。预处理是通过使衬底在15托的压强下在NH3气体中经受UV照射(在90%的紫外线灯强度下)持续30秒执行的。该表的第三列指的是暴露到硅烷基化剂(化学暴露)。将样品暴露于二甲基氨基三甲基硅烷硅烷基化剂持续60秒而不使用等离子体。第四列列出了处理温度(基座温度),在该处理温度下执行用硅烷基化剂的处理。样品A1-A4是在250℃下进行处理的,而样品B1-B4是在400℃进行处理的。第五列列出了在用硅烷基化剂进行处理期间在样品A1、A2、B1、B2、C1和C2上所执行的UV曝光。第六列列出了在样品A2,A4,B2,B4,C2和C4上执行的后处理,所述后处理是通过在压强为15托的氩气环境中在400℃下持续5分钟加热样品而执行的。其余列列出了硅、铜、氧、碳和氮的含量(原子%)。“控制”样品列出了在不存在任何处理的情况下铜表面上的这些元素的含量。可以看出,在样品A2、A4、B2和B4中铜表面上的碳含量降低(与控制相比),所述样品A2、A4、B2和B4是在250℃的温度下用硅烷基化剂进行处理,并且随后在更高的温度下加热以除去已反应的硅烷基化剂。在没有UV照射的情况下所处理的样品A4和B4相比于在存在UV辐射的情况下所处理的样品A2和B2在其表面上显示出较低硅含量。
实施例5:钴是通过MOCVD在铜层上和在ULK电介质(K=2.55)上沉积的。钴含量是在铜和ULK电介质表面上测定,并且沉积选择系数被设定为铜上的钴浓度与电介质上钴浓度的比率。图7A示出了示意针对不同沉积条件在铜样品和ULK电介质样品上的钴含量的柱状图。
对于所有样品,在不存在等离子体的条件下通过将衬底暴露到含氢气的处理气体中的羰基钴前体而沉积钴。样品1和2示出了在没有用硅烷基化剂处理过的衬底上的铜和电介质上的(相应)钴浓度。得到为32的选择系数。样品3和4示出了衬底上的铜和电介质上的(相应)钴浓度,所述衬底在250℃用硅烷基化剂处理,并且然后在400℃下加热以去除已反应的硅烷基化剂。可以看出,选择系数提高到43。样品5和6示出了衬底上的铜和电介质上的(相应)钴浓度,所述衬底在250℃下用硅烷基化剂处理而没有经历随后的加热和除去已反应的硅烷基化剂。可以看出,在这种情况下铜上的钴生长受到抑制。样品7和8示出了衬底上的铜和电介质上的(相应)钴浓度,所述衬底在250℃下用NH3预处理且同时用紫外线照射,然后用硅烷基化剂在250℃下进行处理,并随后进行加热以去除已反应的硅烷基化剂。可以看出,在这种情况下选择系数大大提高,并且在电介质上没有检测到钴的沉积。样品9和10示出了衬底上的铜和电介质上的(相应)钴浓度,所述衬底在250℃下用NH3进行预处理并且同时用紫外线照射,然后在250℃下用硅烷基化剂处理并且没有用于去除已反应的硅烷基化剂的后续加热。可以看出,在这种情况下铜上的钴的增长受到抑制,导致较差沉积选择性。
实施例6:通过MOCVD在不同类型的铜层上和在不同的ULK电介质上沉积钴。钴含量通过XRF测定,并示于图7B中所显示的柱状图中。具体地说,样品11、15、19和23示出了在ULK(k=2.4)上的沉积;样品12、16、20和24示出了在ULK(k=2.55)上的沉积,样品13、17、21和25示出了在经PVD沉积的铜上的沉积,并且样品14、18、22和26示出了在通过CMP平坦化的电沉积铜上的沉积。用与实施例5相同的方法沉积钴。将所有样品用硅烷基化剂处理,并且随后将这些样品在氩气环境下在400℃进行加热,以去除已反应的硅烷基化剂。样品11、12、13、14在没有UV照射的情况下并且未经任何预处理的条件下用硅烷基化剂在250℃下进行处理。样品15、16、17和18是在250℃下用氨进行预处理,同时进行紫外线照射,并然后在250℃下用硅烷基化剂进行处理。样品19、20、21和22是在不存在UV照射并且没有任何预处理的情况下在400℃下用硅烷基化剂进行处理的。样品23、24、25和26是在250℃下用氨进行预处理,同时用UV照射,并随后在400℃下用硅烷基化剂进行处理。可看到,相比于较高的温度(400℃),在用硅烷基化剂进行处理期间优选较低的温度(250℃),并且在所有的实验样品中使用氨的UV预处理减少了电介质上的钴生长。
Claims (20)
1.一种用于形成半导体器件结构的方法,该方法包括:
(a)提供包含暴露金属层和暴露电介质层的半导体衬底,其中所述金属选自由铜、钴和镍所构成的组;
(b)使所提供的所述半导体衬底与硅烷基化剂在第一温度下接触,以使所述硅烷基化剂与所述暴露金属层的表面上的含碳污染物反应;以及
(c)在接触之后,在更高温度下加热所述半导体衬底以从所述半导体衬底的金属表面去除已反应的硅烷基化剂;以及
(d)在从所述金属表面去除所述已反应的硅烷基化剂之后,选择性地沉积覆盖层在所述金属表面上,而不将相同覆盖层沉积在所述电介质层上。
2.根据权利要求1所述的方法,其中所述暴露金属层是暴露铜层。
3.根据权利要求1所述的方法,其中所述覆盖层是含金属覆盖层。
4.根据权利要求1所述的方法,其中所述覆盖层是包含钴和/或锰的含金属覆盖层。
5.根据权利要求1所述的方法,其中(d)包括使所述衬底与有机金属化合物接触。
6.根据权利要求1所述的方法,其中(d)包括使所述衬底与包含钴和配体的有机钴化合物接触,所述配体选自由烯丙基、脒基、二氮杂二烯基和环戊二烯基所构成的组。
7.根据权利要求1所述的方法,其还包括在使所述衬底与所述硅烷基化剂接触之前预处理所述衬底,其中所述预处理选自由直接等离子体处理、远程等离子体处理、UV处理和气体内的热处理构成的组,所述气体包含Ar、He、N2、NH3和H2中的至少一种。
8.根据权利要求7所述的方法,其中在介于预处理和与所述硅烷基化剂接触之间使所述衬底不暴露于大气。
9.根据权利要求1所述的方法,其中所述硅烷基化剂选自由三甲氧基硅烷、二乙氧基甲基硅烷、二甲基氨基三甲基硅烷、乙氧基三甲基硅烷、双-二甲基氨基二甲基硅烷、乙烯基三甲基硅烷、乙烯基三甲氧基硅烷、三甲基硅烷基乙炔、(3-巯基丙基)三甲氧基硅烷、苯基三甲氧基硅烷以及它们的组合所构成的组。
10.根据权利要求1所述的方法,其中所述第一温度介于约100和约300℃之间。
11.根据权利要求1所述的方法,其中所述硅烷基化剂是与惰性气体一起供给,并且其中所述惰性气体的流率比所述硅烷基化剂的流率大至少约10倍。
12.根据权利要求1所述的方法,其中(b)是在介于约0.5至20托之间的压强下执行。
13.根据权利要求1所述的方法,其中(c)是在介于约120和约450℃之间的温度下在气体内执行,所述气体选自由Ar、He、N2、NH3、H2和它们的混合物所构成的组。
14.根据权利要求1所述的方法,其中所述硅烷基化剂还与暴露的电介质反应并且针对所述覆盖层的沉积使所述电介质钝化。
15.根据权利要求1所述的方法,其中所述电介质具有小于约3的电介质常数。
16.根据权利要求1所述的方法,其还包括:
(e)在所述覆盖金属上和所述暴露电介质上沉积电介质层。
17.根据权利要求16所述的方法,其中所述电介质层包括掺杂的或者非掺杂的碳化硅。
18.根据权利要求1所述的方法,其还包括:
施加光致抗蚀剂到所述衬底;
将所述光致抗蚀剂暴露于光;
将所述光致抗蚀剂图案化并且将图案转印到所述衬底;
以及从所述衬底选择性地去除所述光致抗蚀剂。
19.一种用于在晶片衬底上形成半导体器件结构的装置,该装置包括:
(a)处理腔,其具有用于导入气相的或者挥发性的反应物的进口;
(b)晶片衬底支撑件,其用于在所述处理腔内处理所述晶片衬底的期间将所述晶片衬底保持在适当位置;以及
(c)控制器,其包含程序指令,所述程序指令用于:
(i)使具有暴露电介质层和暴露金属层的所述晶片衬底在第一温度下与硅烷基化剂接触,以使所述硅烷基化剂与所述暴露金属层的表面上的含碳污染物反应,其中所述金属选自由铜、钴和镍所构成的组;以及
(ii)在接触之后,在更高的温度下加热所述晶片衬底以从所述晶片衬底的所述金属表面去除所述已反应的硅烷基化剂;以及
(iii)在从所述金属表面去除所述已反应的硅烷基化剂之后,选择性地沉积覆盖层在所述金属表面上,而不将相同覆盖层沉积在所述电介质层上。
20.一种系统,其包括步进曝光机和根据权利要求19所述的装置。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/314,479 US20150380296A1 (en) | 2014-06-25 | 2014-06-25 | Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications |
US14/314,479 | 2014-06-25 |
Publications (1)
Publication Number | Publication Date |
---|---|
CN105225925A true CN105225925A (zh) | 2016-01-06 |
Family
ID=54931318
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201510359303.7A Pending CN105225925A (zh) | 2014-06-25 | 2015-06-25 | 用于互连件覆盖应用的金属互连件中的碳基污染物的清洁 |
Country Status (4)
Country | Link |
---|---|
US (1) | US20150380296A1 (zh) |
KR (1) | KR20160000863A (zh) |
CN (1) | CN105225925A (zh) |
TW (1) | TW201612351A (zh) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN110643970A (zh) * | 2019-10-16 | 2020-01-03 | 江苏鲁汶仪器有限公司 | 一种在iii-v材料上镀高粘附性薄膜的方法 |
CN112352065A (zh) * | 2018-06-30 | 2021-02-09 | 朗姆研究公司 | 用于衬里钝化和粘附性改善的金属衬里的锌化和掺杂 |
Families Citing this family (298)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US20150206798A1 (en) * | 2014-01-17 | 2015-07-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect Structure And Method of Forming |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10043709B2 (en) * | 2014-11-07 | 2018-08-07 | Applied Materials, Inc. | Methods for thermally forming a selective cobalt layer |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102613349B1 (ko) | 2016-08-25 | 2023-12-14 | 에이에스엠 아이피 홀딩 비.브이. | 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법 |
WO2018063815A1 (en) * | 2016-10-02 | 2018-04-05 | Applied Materials, Inc. | Doped selective metal caps to improve copper electromigration with ruthenium liner |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US20180144973A1 (en) * | 2016-11-01 | 2018-05-24 | Applied Materials, Inc. | Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10347529B2 (en) * | 2017-10-04 | 2019-07-09 | Globalfoundries Inc. | Interconnect structures |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) * | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
WO2019158960A1 (en) | 2018-02-14 | 2019-08-22 | Asm Ip Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
TWI816783B (zh) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
CN112292477A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
US11024801B2 (en) | 2018-06-27 | 2021-06-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Diffusion layer for magnetic tunnel junctions |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11101175B2 (en) * | 2018-11-21 | 2021-08-24 | International Business Machines Corporation | Tall trenches for via chamferless and self forming barrier |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP2020096183A (ja) | 2018-12-14 | 2020-06-18 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102638425B1 (ko) | 2019-02-20 | 2024-02-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치 |
JP2020136677A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP2021015791A (ja) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
TW202113936A (zh) | 2019-07-29 | 2021-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US20210090991A1 (en) | 2019-09-24 | 2021-03-25 | Intel Corporation | Integrated circuit structures having linerless self-forming barriers |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TW202129060A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 基板處理裝置、及基板處理方法 |
TW202115273A (zh) | 2019-10-10 | 2021-04-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
KR20210045930A (ko) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물의 토폴로지-선택적 막의 형성 방법 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
JP2021097227A (ja) | 2019-12-17 | 2021-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
KR20210095050A (ko) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
CN113555279A (zh) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | 形成含氮化钒的层的方法及包含其的结构 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR20210145080A (ko) | 2020-05-22 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
US20220122915A1 (en) * | 2020-10-19 | 2022-04-21 | United Microelectronics Corp. | Semiconductor structure and fabrication method thereof |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1921102A (zh) * | 2005-08-23 | 2007-02-28 | 台湾积体电路制造股份有限公司 | 内连线结构及其制造方法、半导体装置 |
US20070249156A1 (en) * | 2006-04-20 | 2007-10-25 | Griselda Bonilla | Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby |
US20080197499A1 (en) * | 2007-02-15 | 2008-08-21 | International Business Machines Corporation | Structure for metal cap applications |
US20090269507A1 (en) * | 2008-04-29 | 2009-10-29 | Sang-Ho Yu | Selective cobalt deposition on copper surfaces |
Family Cites Families (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5220020A (en) * | 1990-11-21 | 1993-06-15 | Massachusetts Institute Of Technology | Catalytic reduction of organic carbonyls using metal catalysts |
US6355571B1 (en) * | 1998-11-17 | 2002-03-12 | Applied Materials, Inc. | Method and apparatus for reducing copper oxidation and contamination in a semiconductor device |
CN101484984B (zh) * | 2006-07-05 | 2010-12-15 | 东京毅力科创株式会社 | 无定形碳膜的后处理方法 |
US10037905B2 (en) * | 2009-11-12 | 2018-07-31 | Novellus Systems, Inc. | UV and reducing treatment for K recovery and surface clean in semiconductor processing |
EP2128897B1 (en) * | 2007-03-16 | 2015-05-06 | Fujitsu Limited | Silicon dielectric treating agent for use after etching, process for producing semiconductor device, and semiconductor device |
JP5380901B2 (ja) * | 2008-05-12 | 2014-01-08 | 富士通セミコンダクター株式会社 | 半導体装置及びその製造方法 |
JP5014356B2 (ja) * | 2009-01-15 | 2012-08-29 | パナソニック株式会社 | 半導体装置の製造方法 |
US20120100716A1 (en) * | 2010-10-20 | 2012-04-26 | Globalfoundries Singapore Pte., Ltd | Method to improve reliability (EM and TDDB) with post silylation plasma treatment process for copper damascene structures |
WO2014189671A1 (en) * | 2013-05-24 | 2014-11-27 | Applied Materials, Inc. | Cobalt selectivity improvement in selective cobalt process sequence |
US9153482B2 (en) * | 2014-02-03 | 2015-10-06 | Lam Research Corporation | Methods and apparatus for selective deposition of cobalt in semiconductor processing |
US10043709B2 (en) * | 2014-11-07 | 2018-08-07 | Applied Materials, Inc. | Methods for thermally forming a selective cobalt layer |
-
2014
- 2014-06-25 US US14/314,479 patent/US20150380296A1/en not_active Abandoned
-
2015
- 2015-06-18 TW TW104119692A patent/TW201612351A/zh unknown
- 2015-06-23 KR KR1020150088974A patent/KR20160000863A/ko unknown
- 2015-06-25 CN CN201510359303.7A patent/CN105225925A/zh active Pending
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1921102A (zh) * | 2005-08-23 | 2007-02-28 | 台湾积体电路制造股份有限公司 | 内连线结构及其制造方法、半导体装置 |
US20070249156A1 (en) * | 2006-04-20 | 2007-10-25 | Griselda Bonilla | Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby |
US20080197499A1 (en) * | 2007-02-15 | 2008-08-21 | International Business Machines Corporation | Structure for metal cap applications |
US20090269507A1 (en) * | 2008-04-29 | 2009-10-29 | Sang-Ho Yu | Selective cobalt deposition on copper surfaces |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN112352065A (zh) * | 2018-06-30 | 2021-02-09 | 朗姆研究公司 | 用于衬里钝化和粘附性改善的金属衬里的锌化和掺杂 |
CN110643970A (zh) * | 2019-10-16 | 2020-01-03 | 江苏鲁汶仪器有限公司 | 一种在iii-v材料上镀高粘附性薄膜的方法 |
Also Published As
Publication number | Publication date |
---|---|
KR20160000863A (ko) | 2016-01-05 |
US20150380296A1 (en) | 2015-12-31 |
TW201612351A (en) | 2016-04-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN105225925A (zh) | 用于互连件覆盖应用的金属互连件中的碳基污染物的清洁 | |
JP6832088B2 (ja) | 感受性材料上にハロゲン化物含有ald膜を統合する方法 | |
US10804144B2 (en) | Deposition of aluminum oxide etch stop layers | |
US10679848B2 (en) | Selective atomic layer deposition with post-dose treatment | |
CN111247269B (zh) | 介电膜的几何选择性沉积 | |
KR20230039625A (ko) | 저온 ald 막들을 위한 챔버 언더코팅 준비 방법 | |
KR102515238B1 (ko) | 실리콘 카바이드 막들의 컨포멀한 증착 | |
CN107393809A (zh) | 使用pecvd沉积保形和低湿蚀刻速率的封装层的方法 | |
US9153482B2 (en) | Methods and apparatus for selective deposition of cobalt in semiconductor processing | |
TW201832351A (zh) | 3維反及製造中之階梯形包覆 | |
CN105390437A (zh) | 用于选择性超低k孔密封的可流动电介质 | |
US10790141B2 (en) | Surface-selective atomic layer deposition using hydrosilylation passivation | |
US20140356549A1 (en) | METHOD TO OBTAIN SiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES | |
US9966255B2 (en) | Method of densifying films in semiconductor device | |
CN109791914B (zh) | 用于互连结构的复合介电界面层 | |
TW201726963A (zh) | 低介電常數含鋁蝕刻終止膜的形成方法 | |
CN116487246A (zh) | 掺杂氧的碳化硅膜的基于远程等离子体的沉积 | |
TW201535513A (zh) | 介電常數減少且機械性質強化的低k介電層 | |
KR20230029686A (ko) | 반도체 장치들 내 인트라레벨 커패시턴스 감소 | |
WO2023230296A1 (en) | Single wafer reactor, low temperature, thermal silicon nitride deposition | |
WO2023178273A1 (en) | Reducing capacitance in semiconductor devices | |
WO2023164717A1 (en) | Surface inhibition atomic layer deposition |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
WD01 | Invention patent application deemed withdrawn after publication |
Application publication date: 20160106 |
|
WD01 | Invention patent application deemed withdrawn after publication |