CN112352065A - 用于衬里钝化和粘附性改善的金属衬里的锌化和掺杂 - Google Patents

用于衬里钝化和粘附性改善的金属衬里的锌化和掺杂 Download PDF

Info

Publication number
CN112352065A
CN112352065A CN201980042464.XA CN201980042464A CN112352065A CN 112352065 A CN112352065 A CN 112352065A CN 201980042464 A CN201980042464 A CN 201980042464A CN 112352065 A CN112352065 A CN 112352065A
Authority
CN
China
Prior art keywords
zinc
substrate
copper
depositing
indium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980042464.XA
Other languages
English (en)
Inventor
安鲁达哈·乔伊
德赖斯·狄克特斯
耶兹迪·多尔迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN112352065A publication Critical patent/CN112352065A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C10/00Solid state diffusion of only metal elements or silicon into metallic material surfaces
    • C23C10/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C10/00Solid state diffusion of only metal elements or silicon into metallic material surfaces
    • C23C10/28Solid state diffusion of only metal elements or silicon into metallic material surfaces using solids, e.g. powders, pastes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C10/00Solid state diffusion of only metal elements or silicon into metallic material surfaces
    • C23C10/60After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1614Process or apparatus coating on selected surface areas plating on one side
    • C23C18/1616Process or apparatus coating on selected surface areas plating on one side interior or inner surface
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1651Two or more layers only obtained by electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1653Two or more layers with at least one layer obtained by electroless plating and one layer obtained by electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1689After-treatment
    • C23C18/1692Heat-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1689After-treatment
    • C23C18/1692Heat-treatment
    • C23C18/1696Control of atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1803Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
    • C23C18/1824Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment
    • C23C18/1827Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment only one step pretreatment
    • C23C18/1834Use of organic or inorganic compounds other than metals, e.g. activation, sensitisation with polymers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/48Coating with alloys
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/38Coating with copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Abstract

提供了一种用于在衬底的特征中形成自形成的阻挡层的方法,其包括以下操作:在所述衬底的所述特征中沉积金属衬里,所述金属衬里沉积在所述衬底的电介质上方;将含锌前体沉积在所述金属衬里上方;执行所述衬底的热浸泡;将所述含锌前体的所述沉积和所述衬底的所述热浸泡重复预定的循环次数;其中所述方法在所述金属衬里和所述电介质之间的界面形成含锌的阻挡层。

Description

用于衬里钝化和粘附性改善的金属衬里的锌化和掺杂
背景技术
用于创建掺杂铜互连件的当前技术主要是经由物理气相沉积(PVD)。通过PVD沉积两种感兴趣的主要掺杂铜(Cu)物质,即CuMn(掺杂锰的铜)和CuAl(掺杂铝的铜)。对于具有较小关键尺寸(CD)和较大深宽比(AR)的未来技术节点,这不是可伸缩的技术。设计用于高AR和狭窄结构的CuMn或CuAl的原子层沉积(ALD)共沉积工艺非常具有挑战性。此外,Mn和Al掺杂剂也可显著地提高Cu线的电阻率(分别为2.8和1.25uohm-cm/at.%)。因此,还期望带来较少的电阻损失的替代掺杂剂。
而且,通过电沉积对诸如Co或Ru之类的衬里的直接Cu金属化一直是生产线后端(BEOL)的挑战。在外来衬底(例如衬里材料)上直接镀覆需要大量的(extensive)表面预处理,以减少天然金属氧化物。否则,在上述衬里上的Cu成核性不佳。其结果是,表面处理和排队时间管理对于将来互连件的金属化至关重要,这些互连件需要在薄衬里上直接电镀。
在此情况下,产生本公开内容的实施方案。
发明内容
由于各种原因(例如,自形成的阻挡层、电迁移的改良),合乎期望的是对互连金属进行掺杂。根据本公开内容的实施方案,提供自上向下掺杂互连金属的新颖方法。这涉及通过完善的技术(例如,CVD、ALD、PVD等)在互连结构上方沉积保形的金属氧化物层。然后通过热处理将互连金属上的金属氧化物选择性地还原为元素金属。还原的金属扩散进入互连金属,从而提供掺杂的互连件。
同样,保形的氧化锌层用于保护衬里免被氧化。在还原环境中热处理后,ZnO还原为金属锌,其随后迁移至锌/电介质界面,从而提供粘附性和可能的阻挡性能。衬里层中的未反应的金属锌的一部分在暴露于空气时通过优先形成氧化锌层而保护衬里免被氧化。
在一些实施方案中,提供了一种用于在衬底的特征中形成自形成的阻挡层的方法,其包括以下操作:在所述衬底的所述特征中沉积金属衬里,所述金属衬里沉积在所述衬底的电介质上方;将含锌前体沉积在所述金属衬里上方;执行所述衬底的热浸泡;将所述含锌前体的所述沉积和所述衬底的所述热浸泡重复预定的循环次数;其中所述方法在所述金属衬里和所述电介质之间的界面形成含锌的阻挡层。
在一些实施方案中,所述金属衬里由钌组成。
在一些实施方案中,所述金属衬里由钴组成。
在一些实施方案中,所述特征为通孔。
在一些实施方案中,所述特征为互连件。
在一些实施方案中,所述含锌前体为二乙基锌。
在一些实施方案中,沉积所述含锌前体通过化学气相沉积工艺来执行。
在一些实施方案中,所述热浸泡在约180至400℃的温度下执行。
在一些实施方案中,所述方法还包括:在所述重复所述预定的循环次数后,接着将导体沉积在所述衬底的所述特征中。
在一些实施方案中,所述导体为铜。
在一些实施方案中,提供了一种用于在衬底的特征中形成自形成阻挡层的方法,其包括以下操作:在所述衬底的所述特征中沉积金属衬里,所述金属衬里沉积在所述衬底的电介质上方;将含铟前体沉积在所述金属衬里上方;执行所述衬底的热浸泡;将所述含铟前体的所述沉积和所述衬底的所述热浸泡重复预定的循环次数;其中所述方法在所述金属衬里和所述电介质之间的界面形成含铟的阻挡层。
在一些实施方案中,所述金属衬里由钌组成。
在一些实施方案中,所述金属衬里由钴组成。
在一些实施方案中,所述特征为通孔。
在一些实施方案中,所述特征为互连件。
在一些实施方案中,所述含铟前体为二甲基铟。
在一些实施方案中,沉积所述含铟前体通过化学气相沉积工艺来执行。
在一些实施方案中,所述热浸泡在约180至400℃的温度下执行。
在一些实施方案中,所述方法还包括:在所述重复所述预定的循环次数后,接着将导体沉积在所述衬底的所述特征中。
在一些实施方案中,所述导体为铜。
通过以下结合附图的详细描述,本公开内容的其他方面和优点将变得显而易见,所述附图通过示例的方式说明本公开内容的原理。
附图说明
将参考所示出的附图理解本公开内容的实施方案。
图1A说明了随着CD尺寸缩小以启用下一代技术节点而出现的问题。
图1B说明了用于根据公开内容的实施方案形成自形成的阻挡层的工艺。
图2示出了根据公开内容的实施方案在毯覆衬底(a blanket substrate)上以无电铜铟(Cu-In)形成界面层。
图3显示了根据公开内容的实施方案通过铜铟的无电沉积所执行的通孔填充的多种图像。
图4说明了根据公开内容的实施方案的多种退火条件和在衬底的通孔结构中的铟的最终分布。
图5显示了EDS图解图像500,其根据公开内容的实施方案说明了在如上所述的两阶段退火后在通孔预填充物中的铟和铜的存在。
图6说明了根据公开内容的实施方案用于经由金属氧化物层的退火来产生自形成的阻挡层的工艺。
图7显示了根据上述工艺处理的通孔结构的表征,其根据公开内容的实施方案示出了氧化锌和锌扩散进入通孔预填充物。
图8A说明了根据公开内容的实施方案用于以ALD前体掺杂的工艺。
图8B概念性地说明了根据公开内容的实施方案用于对Ru衬里掺杂的工艺,其用于自形成的阻挡层(SFB)形成。
图8C概念性地说明了根据公开内容的实施方案的用于通孔的金属化的工艺。
图9还说明了根据公开内容的实施方案如何经过沉积ZnO层然后进行热处理而将Zn掺杂进入Cu和Ru。
图10说明了根据公开内容的实施方案的Cu中的掺杂的Zn如何是金属的,而当暴露于空气时,锌会在Cu的顶部上形成氧化锌层。
图11说明了根据公开内容的实施方案如何可将本公开内容的构思应用于实现可直接电镀的衬里。
图12是用于实施本公开内容的实施方案的计算机系统的简化概要图。
具体实施方式
在以下描述中,提出了许多具体细节以便提供对示例性实施方案的透彻理解。然而,对于本领域的技术人员将显而易见的是,可在没有这些具体细节中的一些的情况下实践示例性实施方案。在其他情况下,如果已经众所周知,则没有详细描述工艺操作和实施方案细节。
如本文中所使用,术语“约”和“大致”意指特定参数可在合理的公差内变动,例如在一些实施方案中为±10%、在一些实施方案中为±15%、或在一些实施方案中为±20%。
本公开内容的实施方案提供用于对金属互连件掺杂的方法,包括通过无电共沉积、通过金属氧化物的选择性还原以及通过热解离进行。
自上而下的掺杂方法消除了对在高AR结构中用于CuX(在此X=掺杂元素)的共沉积工艺的需要。在金属化后,可以经过以下方式将掺杂元素驱动进入互连金属:(1)沉积金属氧化物层,其中金属为掺杂元素(例如,诸如用于A12O3、ZnO、MnO2、SnO2和In2O3的金属氧化物工艺为完善的(例如ALD、CVD或PVD);(2)经过在高温下用热解离的前体(例如,可能是ALD前体)来给互连金属配料。此外,经过当前描述的自上而下的掺杂方法,诸如Zn和In之类的替代掺杂元素是可能的,它们的电阻较小(分别为0.25和1.1uohm-cm/at.%)。
这样的方法使得能够对Cu掺杂,以产生自形成的阻挡层(SFB),并使得能将电迁移(EM)改进到较小的尺寸,这是目前无法以PVD实现的。由于阻挡层缩放停止(电阻率增加)和Jmax(最大电流)增加(EM故障),将来的技术节点将需要这些类型的SFB和EM升压器(boosters)。
当前描述的构思适用于诸如ZnO、SnO2、InO2、和MnO2(ALD和PVD)之类的金属氧化物。例如但不限于,考虑ZnO作为金属氧化物,然后在热处理后,将ZnO选择性地还原为Cu和Ru上的金属Zn,其扩散进入下伏的金属,从而形成掺杂的互连件。
直接在诸如Ru或Co之类的衬里上电镀的当前技术涉及在>300℃下进行表面预处理,以还原天然的金属氧化物。后续的排队时间管理对于防止衬里再氧化至关重要。这是一项巨大的挑战,因为外来衬底上的电化学成核性对表面条件和状态极为敏感。
锌化是用于金属化铝的已知方法。在此工艺中,金属铝在非常碱性的溶液中与Zn(OH)2-反应,以将锌沉积在铝上。该锌层防止铝再次氧化,并能够使铝金属化。
然而,在本公开内容的实施方案中,“锌化”是通过ALD或CVD工艺,然后进行热处理来提供。传统的“锌化”中所使用的碱性工艺不适合使用于半导体制造中。
沉积在诸如Ru、Co、Mo之类的衬里材料上的保形氧化锌可经由在还原环境中的热处理而还原为金属锌。还原的锌可(1)在衬里/金属界面形成硅酸锌化合物,从而改善粘附性;(2)保护衬里在暴露于环境条件时免被再次氧化;(3)通过“填充”衬里的晶界并经过形成界面化合物来改善阻挡层性质。
若干其他金属氧化物可显示类似的性质-SnO2、In2O3、GeO2、FexOy、MnO2、CoOx
图1A说明了随着CD尺寸缩小以启用下一代技术节点而发生的问题。随着特征尺寸缩小,相对于阻挡层和衬里层,导电面积会有所损失,以致阻挡层和衬里层的高电阻将占主导地位。在如图所示的导体线和通孔的情况中,导体的横截面被衬里层和阻挡层挤出,且因此当前的衬里/阻挡层配置将无法适应未来的技术节点。同样,通孔电阻正成为支配电阻,例如,在1D图案化中,因除了导致缩小的导体横截面以外,当前的衬里层和阻挡层还产生电阻式接触。
图1B说明了用于根据公开内容的实施方案形成自形成的阻挡层的工艺。在附图标记100处,显示了衬底中的经蚀刻的互连特征的横截面。所述特征包括通孔108和沟道106。特征在电介质中图案化,在一些实施方案中,电介质可以是氧化物,诸如SiO2或低k电介质。下伏的导体(Cu)线104处于通孔的底部。如在附图标记110所示的,采用无电沉积工艺来沉积由掺杂的铜物质CuX(其中X为掺杂剂)所组成的通孔预填充物(VPF)112。在多种实施方案中,X可以是Zn、In、Sn、或其他元素,所述元素可为通过无电沉积工艺与诸如铜之类的导体共沉积,并提供如本文所述的自形成的阻挡层或粘附层。
然后,在还原环境中执行热处理。如在附图标记112所示的,这造成和/或加速掺杂剂X向通孔的侧壁的迁移,在此其形成自形成的阻挡层114。自形成的阻挡层114既改善对侧壁的粘附性并防止电迁移。相信掺杂剂X与氧化物反应,以形成复合的硅酸盐。应当理解,当掺杂剂已迁移至侧壁时,通孔预填充物112现在大部分是纯铜。
在生成自形成的阻挡层114后,接着可如在附图标记116所示地执行标准的金属化工艺,包括例如阻挡层/衬里层118的沉积,接着沉积主体导体120。在另一实施方案中,仅沉积衬里,并可执行另一掺杂的铜填充,随后进行退火以形成类似于相对于通孔预填充物所描述的自形成的阻挡层。
前述工艺具有若干优点。随着通孔的导体部分的横截面积最大化,通孔电阻减小,另外,由于通孔已被填充,该工艺能够给深宽比减小的沟道电镀(ECP)Cu。这是有利的,因为它可实施更高深宽比的通孔。过去,沟道和通孔的深宽比不能超过约4:1(高度比宽度)。但是,通过本文所述的这种工艺,现在可将沟道的填充与通孔的填充解耦,从而可创建远远更高的通孔,这将降低中间层之间的电容。还可能具有更高的沟道,尽管它受深宽比限制,但是现在其可能具有更高的沟道,因为填充工艺(例如ECP)不需要向下填充进入通孔。因此该工艺减少通孔电阻且使得深宽比能减小,从而延长电镀工艺的可行性。
图2示出了根据公开内容的实施方案在毯覆衬底上以无电铜铟(Cu-In)形成界面层。如在附图标记200所示的,衬底表面最初由在热氧化物层202上的Cu层204(例如5nm厚)所组成,其例如但不限于模仿通孔的界面结构。然后,如在参考标记206所示的,通过无电沉积在Cu层204上方沉积Cu-In层208(例如~100nm厚),并通过无电沉积于Cu-In层208上方沉积Cu层210(例如~40nm厚度)。
形成气体(forming gas)退火在350℃下执行。所得膜结构在附图标记212显示。大多数铟迁移至与氧化物层202的界面,相信在此处其至少一部分形成氧化铟层214(例如In2O3)。包括两层208和210的剩余的主体Cu层216大部分是纯铜,尽管它可含有痕量的铟。大多数铟已迁移至氧化物界面,且如果暴露至氧气(诸如当暴露于大气条件时可能发生),也可能迁移至在结构顶部的空气界面。
为了进行粘附性测试的目的,如在附图标记218所示的,通过PVD在主体铜层216上方沉积额外的厚铜层220(例如,~400nm厚)。在附图标记222处,显示了根据上面工艺所处理的衬底,随后进行剥离测试以测试粘附性。如图所示,表面结构的含铜层在剥离试验后大部分保持完好无损,这表明源自氧化铟层214的很强的粘附性。
在毯覆衬底上执行控制工艺。如在附图标记230所示的,通过在Cu层204上方进行无电沉积来沉积Cu层232(~160nm厚度)。在350℃下执行相同的形成气体退火,导致在氧化物层202上方形成主体铜层236。通过PVD在Cu层236上方沉积厚铜层238。如在附图标记242所示的,使用剥离测试来测试所得的衬底。如可看出的,含铜层从衬底上分层,这表明与上述含铟结构的粘附性相比,粘附性相对较差。
在附图标记250,透射电子显微镜(TEM)图像显示了如所描述于形成气体退火后按附图标记212处理的衬底的一部分的横截面,包括铜至氧化物界面的区域,现在所述区域包含铟。通过电子衍射光谱(EDS)研究亚部分252,并在附图标记260显示EDS图解。如可以看出的,铟(附图标记264)位于铜(附图标记266)和氧化硅(262)之间。曲线图270说明作为深度的函数的Cu(曲线272)、In(曲线274)、和Si(曲线276)的原子百分比。同样,在从铜到氧化硅的过渡中看到铟。
图3显示了根据公开内容的实施方案通过铜-铟的无电沉积所执行的通孔填充的诸多图像。图像300是通过无电沉积进行的通孔填充后的具有图案化沟道和通孔的衬底表面的自上向下扫描隧道式显微镜(STM)图像。在形成气体下于200℃对衬底进行5分钟的预清洁。铜铟的无电沉积执行40秒,随后于350℃进行20分钟的形成气体退火。图像302显示图像300的一部分的放大视图。图像308(以nm显示通孔填充物的尺寸)、310和312是横截面TEM图像,其显示根据图像300/302在通孔填充后的通孔结构。
图像304是与上述类似地处理的衬底的自上向下扫描隧道式显微镜(STM)图像,但是铜铟的无电沉积执行达90秒的更长时间。图像306显示图像304的一部分的放大视图。图像314、316和318是横截面TEM图像,根据图像304/306显示在通孔填充后的通孔结构。如可以看出的,通孔填充的高度和溢出宽度增加超过40秒填充的高度和溢出宽度。
应当理解,用于无电沉积铜铟的电解质沉积溶液将包含铜源和铟源两者,诸如铜的氯化物盐(和铟的氯化物盐(例如,InC13、CuC12)两者。在一些实施方案中,所述溶液包含作为还原剂的钴。在一些实施方案中,所述溶液包含作为配体的乙二胺或另一含胺分子。在一些实施方案中,在约5至10的pH范围中和在约20至80℃的温度下执行无电沉积。在一些实施方案中,pH在约7至9的范围中。在一些实施方案中,温度为室温,或接近或约25℃。
图4说明了根据公开内容的实施方案的多种退火条件和在衬底的通孔结构中的铟的最终分布。根据上述技术,用铜铟对通孔进行无电填充(形成通孔预填充物(VPF))持续90秒,并执行不同的退火方法。图像400和404显示如所示不同退火条件之后的通孔填充物的TEM横截面。对应的EDS图解图像402和406显示在TEM横截面下方,其指示铟的存在和位置。
如可看出的,通过两阶段退火(在还原环境,例如形成气体中)显著改善了对于通孔预填充界面(具有氧化物和空气)的铟偏析,该两阶段退火包括较短的较低温度退火和随后的较长较高温度退火,如通过EDS图像406所示的。与仅对其执行一次低温或高温退火的其他样品相比,铟向通孔预填充界面的迁移更加明显。
因此,在一些实施方案中,利用两阶段退火,其由在较低温度(例如,在约150至200℃的范围中)的第一退火和随后的在较高温度(例如,在约300至400℃的范围中)的第二退火组成。在一些实施方案中,第一退火具有较短的持续时间(例如,在约1至5分钟的范围中,而第二退火具有较长的持续时间(例如,在约10至30分钟的范围中)。
图5显示了EDS图解图像500,其说明了根据公开内容的实施方案在如上所述的两阶段退火后的通孔预填充物中铟和铜的存在。如所示的,铟集中于通孔预填充结构的外缘,而使通孔预填充物本身大部分为纯铜。
如本公开内容中所描述的,可对导体金属(铜)进行掺杂和退火,以达成自形成的阻挡层。应注意,任何电负性的掺杂剂金属(例如,Zn、In、Sn)应向氧化物侧壁迁移并淬灭侧壁中的氧化剂,且因此防止铜被氧化。然而,如何具体掺杂某些金属仍然是一项挑战。如上所述的无电沉积对于(例如在通孔中)提供选择性沉积是有利的。然而,尽管可相当容易地无电沉积铜,但是无电掺杂的铜会是挑战性的,具体取决于掺杂剂。例如,像锌和锰这样的高电负性元素可能难以经由无电沉积与铜共沉积。
鉴于前述原因,提供获得自形成的阻挡层所需的金属的另一方式是采用气相工艺,以从结构(例如,经由通孔预填充结构)的顶部注入金属(例如Zn、In、Sn、Mn)。因此,在一些实施方案中,可沉积保形的氧化锌层,然后还原成金属锌,其扩散进入导体金属。最近已发现的另一方式是使用CVD类的工艺进行直接热解离。例如,已发现可将锌前体分配至被加热的晶片上,且锌前体在晶片的金属部分上选择性地分解,并扩散进入金属。
图6说明了根据公开内容的实施方案的用于经由金属氧化物层的退火来产生自形成的阻挡层的工艺。如在附图标记600所示的,显示了衬底表面中的图案化特征,其包括在氧化物602中蚀刻的通孔606和沟道608,以形成至下伏的导体线604(例如,铜)的互连件。如在参考标记610所示的,采用无电沉积工艺来沉积通孔预填充物612(例如,铜)。无电沉积工艺是有利的,因为其对于导体线604是选择性的。
如在参考标记614所示的,通过诸如ALD、PVD或CVD之类的保形沉积工艺将保形金属氧化物层616(例如ZnO、MnO2、SnO2、In2O3)沉积于沟道608中。然后在还原环境中执行热处理(或退火)。在一些实施方案中,热处理在约200至350℃的范围中。在一些实施方案中,还原环境通过形成气体环境限定。热处理造成位于通孔预填充物612上方的金属氧化物选择性地分解,使得金属扩散进入下伏的通孔预填充物中,并进一步迁移至与通孔的氧化物侧壁的界面,从而在界面处形成自形成的阻挡层620,所述阻挡层620改善粘附性并抑制电迁移。
图像630是使用沉积于Cu通孔预填充物上方的氧化锌膜,根据上述方法处理的通孔结构的TEM横截面图像。如图所示,在热处理后,通孔预填充物本身上没有ZnO,因为ZnO已还原为金属Zn,其然后已扩散进入Cu通孔预填充物。Zn可迁移至通孔侧壁到铜和氧化物之间的界面,并形成自形成的阻挡层。如上所述,自形成的阻挡层可部分为由于在侧壁形成硅酸锌。
在一些实施方案中,热处理还造成剩余的氧化锌层616(其不在通孔预填充物612上方)形成硅酸锌(例如,沿着沟道608的侧壁形成)。这是有利的,因为其经过相同工艺沿着沟道和通孔壁两者获得连续的阻挡层。此外,可执行沟道的主体填充(例如,通过对铜提供选择性的无电沉积执行),并使导体的横截面积最大化,且避免电阻性接触,所有这些都改善电气性能。
在一些实施方案中,用例如水或酸移除剩余的氧化锌层616(其不在通孔预填充物612上方)。
图7显示了根据上述工艺处理的通孔结构的特性,其根据公开内容的实施方案示出了氧化锌和锌扩散进入通孔预填充物中。尤其是,通过ALD且随后通过350℃形成气体退火来沉积氧化锌层。
EDS图解图像700显示Zn、O和N的存在(如所示的,在结构中包括SiN膜)。如可看出的,尽管锌有一些扩散进入侧壁氧化物中,但是锌主要沿着侧壁在介电氧化物的氧上方。值得注意的是,锌不存在通孔预填充物上方或通孔预填充物中(超过痕量)。氧化锌层已消失,且锌已扩散穿过铜通孔预填充物。代替地,锌存在于铜和SiN之间的界面。
曲线702显示了作为深度的函数的O、Cu、Zn、和N的原子百分比,并与下面的铜线平行。曲线704、706、708和710分别显示N、Cu、O、和Zn的原子百分比。
曲线712显示了作为深度的函数的N、O、Cu、和Zn的原子百分比,并与下面的铜线垂直。曲线714、716、718和720分别显示O、Cu、Zn、和N的原子百分比。
曲线722显示了作为整个铜至氮化物界面的深度的函数的Si、Cu、Zn、和N的原子百分比。曲线724、726、728和730分别显示Si、Cu、Zn、和N的原子百分比。
根据前述内容,可看出,Cu中的Zn浓度为约6至8原子百分比,其随深度增大而减少。与In类似,Zn也累积在Cu/SiN界面。同样,有一些Zn前体渗透进入多孔低k电介质中。
图8A显示了根据公开内容的实施方案的用于用ALD前体掺杂的工艺。在参考标记800,显示了压力与时间的关系曲线,其说明ALD工艺。ALD工艺由交替的30ms的二乙基锌(DEZ)脉冲(附图标记802)和15ms的水脉冲(附图标记806)所组成,相隔20秒的清除时间(附图标记804),并在175℃的工艺温度下执行。
TEM图像810显示了在没有后退火操作的情况下,在上述ALD工艺的50个循环后形成的通孔预填充物的尺寸和ZnO层的厚度(以nm为单位)。对应的EDS图解图像812显示锌经由预填充扩散进入铜中。这也通过作为通过通孔预填充物的深度的函数的锌和铜的原子百分比的曲线814所显示。曲线816和818分别显示锌和铜的原子百分比。如可以看出的,锌存在于通孔预填充结构的上部中,因此表示金属锌已从DEZ解离并扩散进入铜中。
如可看出的,诸如DEZ之类的ALD前体可在金属存在下并以足够的温度(例如约150至200℃以及超过200℃)分解。在当前情况下,铜充当将DEZ解离成金属锌的催化剂。
鉴于这些发现,提供利用ALD前体的该自发热离解的CVD掺杂工艺。曲线820显示了CVD掺杂工艺的压力与时间的关系,其中执行含金属的ALD前体(例如DEZ)的配料步骤(附图标记822),随后执行热浸泡(附图标记824)。工艺在相当高的温度执行,所述温度足以在ALD前体沉积于金属通孔预填充物上时实现ALD前体的热解离。在一些实施方案中,工艺温度在约175至300℃的范围中。重复此循环,直到所期望数量的掺杂剂金属扩散进入通孔预填充物中。
在参考标记830,显示了经蚀刻的互连结构,其包括在电介质832中蚀刻的通孔836和沟道838,以形成与下面的Cu线834的连接。执行无电沉积工艺以在通孔836中选择性沉积Cu通孔预填充物842,如在附图标记840所示的。然后,通过在如上所述的重复循环中投配含金属的ALD前体(例如DEZ),并使得其能浸泡达预定时间来掺杂所述通孔预填充物842。DEZ热解离成铜通孔预填充物上的金属锌,并扩散进入铜。在足够的温度下,锌可扩散进入铜,且还迁移至与电介质的界面,以形成如先前所述的自形成的阻挡层846。
应当理解,可能需要足够高的温度以在电介质界面形成硅酸盐。在一些实施方案中,在第一较低温度(例如,约175至300℃)下执行掺杂工艺,且接着在该工艺后于第二较高温度下进行后退火工艺,以促进硅酸盐形成(例如,约300至400℃)。此外,应注意,锌还可迁移至下伏的铜线834和电介质832(或当存在时可能为SiN层)之间的界面。因此,自形成的阻挡层可不仅增强通孔区域的粘附性,而且还可增强下伏的导体的粘附性。
上述工艺的优点是不需要氧化剂。将任何氧化剂与暴露的电介质一起使用会形成更多的缺陷部位并损坏电介质,所以合乎期望的是不将水或臭氧与暴露的电介质一起使用,且因此以本工艺更好地维持电介质完整性。
注意,如在上述ALD工艺中,在没有水脉冲的情况下,发现在氧化物上检测不到太多锌,并且因此,锌前体对氧化物没有良好的粘附性。
尽管上面已具体描述了锌的掺杂和锌前体DEZ的使用,但是应理解,可以用其他元素和对应的前体替代。在一些实施方案中,二甲基锌用作锌前体。在多种实施方案中,可应用其他有机金属ALD前体,例如对于作为掺杂剂金属的Zn、In(例如三甲基铟)、Sn或Mn。
图8B概念性地说明了根据公开内容的实施方案的用于自形成的阻挡层(SFB)形成的Ru衬里的掺杂工艺。如在附图标记850所示的,在电介质852中形成的通孔(例如SiO2,低k)已镀覆有Ru衬里854。可执行多个循环的配料和热浸泡工艺,从而形成自形成的阻挡层858,如在附图标记856所示。在约4托(725sccm N2)的基本压强下执行示例性的工艺。每一循环包括暴露至DEZ约1分钟,随后用2014sccm H2(8托)热浸泡1分钟,基座的温度为约350℃。
在十个循环后,作为深度的函数的所得原子百分比分布图通过曲线860显示。曲线862、864、866和868分别显示Si、Zn、Ru和氧化锌的原子百分比。如可以看出的,Zn既分布于Ru-空气界面,又分布在Ru-SiO2界面。
图8C概念性地说明了根据公开内容的实施方案的用于金属化通孔的工艺。如在附图标记850所示,在电介质852中形成的通孔已镀覆有Ru或Co衬里854。在附图标记870,执行根据参考图8B所描述的热掺杂的循环工艺,将诸如Zn或In之类的元素X沉积至Ru衬里上,其中元素X迁移至衬里854与电介质852之间的界面,从而形成自形成的粘附性/阻挡层858。在一些实施方案中,在约180至400℃的温度下执行循环/掺杂工艺。在将衬底暴露至空气时,则如在附图标记872所示,在表面形成元素X的氧化物层874(XO保护层),所述氧化物层用作使衬里的表面钝化的保护层。
在通孔的金属化之前,例如通过在还原环境中退火(例如,形成气体退火)来移除氧化物层874。然后,通过任何已知用于填充沉积的方法(诸如ALD、CVD、电镀、无电沉积等),用导体878(例如Cu)大量填充通孔。
在毯覆晶片上示范上述工艺,其中显示TEM和EDS图解结果。附图标记880是横截面的TEM图像,其显示在Zn掺杂后的Ru的能带。对应的EDS图解882显示Ru部分,但是Zn分布在Ru层的两侧上。TEM图像884显示在移除表面上的氧化锌和Cu金属化后的SiO2、Ru和Cu层。对应的EDS图解图像886说明在Ru-氧化物界面的Zn。
图9进一步说明了根据公开内容的实施方案,可以如何经过沉积ZnO层,随后进行热处理而将Zn掺杂进入Cu和Ru。显示了在氢处理之前和之后在铜上沉积有PVD氧化锌层的毯覆晶片的结果。
EDS图解图像900和902显示了锌氧化物层在执行退火后消失。氧化锌还原成金属锌,其接着扩散进入铜层。这也通过元素深度轮廓904显示。
EDS图解图像906和908,以及深度轮廓910显示了与钌类似的概念。
图10说明根据公开内容的实施方案,Cu中的掺杂的Zn如何是金属的,而当暴露于空气时,锌可在Cu的顶部上形成氧化锌层。所说明的附图示出了当锌还原并扩散进入铜时,所述工艺在真空中完成,且当暴露于空气时,扩散的金属锌中的一些会出来,并且通过在铜层的顶部上创建自形成的氧化锌层使铜钝化,从而防止铜的氧化。TEM图像1000显示了Cu和TaN层,在Cu的顶部上具有ZnO层。
在附图标记1010显示了对铜表面状态的XPS研究,其示出了在对应于ZnO的0埃溅射深度(即,在表面处)的Zn峰。这显示了在表面的锌为氧化锌;反之,如果顶部层被溅射掉,则铜中的锌为金属锌(形成保留在铜层中的金属锌的储层)。
附图标记1020说明了表面Cu的化学状态,证明其是金属的。
在附图标记1030所显示的Zn深度轮廓分析显示了在表面的锌的高浓度,且随着深度增大而减小。
在附图标记1040,其显示了作为催化剂的Cu或Ru的存在可将ZnO的还原温度降低到小于400℃。
在所说明的实施方案中,存在TaN层,因此阻止锌迁移至下伏的氧化物。然而,在没有TaN层的情况下(例如在通孔中),则氧化锌会还原为金属锌,其扩散进入钌并分离进入具有氧的两个界面-在Ru的底部的Ru-氧化物界面,和Ru的顶部的Ru-氧化物界面,其中当暴露于空气时Zn氧化。
附图标记1050显示了一种机理-由此将氧化锌还原为金属锌,其扩散进入铜;且锌具有反应性,所以当将衬底置于大气条件时,其一部分会析出并形成ZnO层,以保护铜免被氧化。
图11说明了根据公开内容的实施方案,可如何应用本公开内容的构思,以实现可直接镀覆的衬里。
在一些实施方案中,控制器是系统的一部分,其可配置来根据公开内容的实施方案执行操作或方法。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
图12是用于实现本公开内容的实施方案的计算机系统的简化示意图。应当理解的是,本文描述的方法可以与数字处理系统一起执行,例如与常规的通用计算机系统一起执行。被设计或编程成执行仅一个功能的专用计算机可在替代方案中使用。计算机系统1800包括中央处理单元(CPU)1804,其通过总线1810耦合到随机访问存储器(RAM)1828、只读存储器(ROM)1812和大容量存储设备1814。系统控制器程序1808驻留在随机访问存储器(RAM)1828内,但也可以驻留在大容量存储设备1814内。
大容量存储设备1814表示持久的数据存储设备,如软盘驱动器或固定盘驱动器,其可以是本地或远程的。网络接口1830提供经由网络1832的连接,允许与其它设备通信。但应当理解的是,CPU 1804可体现在通用处理器、专用处理器或专门编程逻辑设备内。输入/输出(I/O)接口1820提供与不同的外围设备的通信,并且通过总线1810与CPU 1804、RAM1828、ROM 1812和大容量存储设备1814连接。外围设备实例包括显示器1818、键盘1822、光标控制1824、可移动媒体设备1834,等等。
显示器1818被配置成显示本文所描述的用户接口。键盘1822、光标控制(鼠标)1824、可移除媒体设备1834和其它外围设备被耦合到I/O接口1820,以便在命令选择中向CPU 1804传送信息。应该理解的是,出入外部设备的数据可通过I/O接口1820传输。实施方案也可以在分布式计算环境内实施,在该分布式计算环境中任务由通过有线或无线网络连接的远程处理设备执行。
实施方案可与各种计算机系统配置一起执行,所述各种计算机系统配置包括手持式设备、微处理器系统、基于微处理器的或可编程的消费电子产品、小型计算机、大型计算机等。这些实施方案也可以在分布式计算环境中实施,在该分布式计算环境中任务由通过网络连接的远程处理设备执行。
考虑到上述实施方案,应当理解的是,实施方案可以采用涉及存储在计算机系统中的数据的各种计算机实现的操作。这些操作是那些需要对物理量进行物理操纵的操作。构成实施方案一部分的本文所描述的任何操作是有用的机器操作。实施方案还涉及用于执行这些操作的设备或者装置。该装置可以被特别地构造用于所需目的,诸如专用计算机。当被定义为专用计算机时,该计算机也可以执行并非专用目的一部分的其他的处理、程序执行或例程,同时仍然能够操作用于专用目的。可替代地,操作可以由通用计算机执行,该通用计算机通过存储在计算机存储器、高速缓存或通过网络得到的一个或多个计算机程序选择性地启动或配置。当数据通过网络获得时,数据也可以通过网络上的其他计算机进行处理,例如云计算资源。
一个或多个实施方案也可以构造为在计算机可读介质上的计算机可读代码。该计算机可读介质是可存储数据的任何数据存储设备,这些数据可随后由计算机系统读取。计算机可读介质的例子包括硬盘驱动器、网络附加存储(NAS)、只读存储器、随机存取存储器、CD-ROM、CD-R、CD-RW、磁带以及其他光学和非光学式数据存储设备。计算机可读介质可包括分布在网络耦合的计算机系统上的计算机可读有形介质,从而计算机可读代码被分布式存储和执行。
虽然该方法的操作被以特定顺序描述,但应当理解的是,其他内务操作可以在操作之间执行,或者操作可以被调整使得它们能够在稍微不同的时刻发生,或者可以在系统中分配,从而允许处理操作发生在与处理相关联的不同间隔,只要重叠操作的处理以所期望的方式执行即可。
因此,示例性实施方案的公开旨在于说明而非限制本公开的范围,本公开的范围在所附权利要求及其等同方案中阐明。尽管为了清楚理解的目的已经详细描述了本公开的示例性实施方案,但是显而易见的是,可以在所附权利要求的范围内进行某些改变和修改。除非在权利要求书中明确指出或本公开内容暗含要求,否则在所附权利要求书中,元件和/或步骤并不暗示任何特定的操作顺序。

Claims (20)

1.一种用于在衬底的特征中形成自形成的阻挡层的方法,其包括:
在所述衬底的所述特征中沉积金属衬里,所述金属衬里沉积在所述衬底的电介质上方;
将含锌前体沉积在所述金属衬里上方;
执行所述衬底的热浸泡;
将所述含锌前体的所述沉积和所述衬底的所述热浸泡重复预定的循环次数;
其中所述方法在所述金属衬里和所述电介质之间的界面形成含锌的阻挡层。
2.根据权利要求1所述的方法,其中所述金属衬里由钌组成。
3.根据权利要求1所述的方法,其中所述金属衬里由钴组成。
4.根据权利要求1所述的方法,其中所述特征为通孔。
5.根据权利要求1所述的方法,其中所述特征为互连件。
6.根据权利要求1所述的方法,其中所述含锌前体为二乙基锌。
7.根据权利要求1所述的方法,其中沉积所述含锌前体通过化学气相沉积工艺来执行。
8.根据权利要求1所述的方法,其中所述热浸泡在约180至400℃的温度下执行。
9.根据权利要求1所述的方法,其还包括:
在所述重复所述预定的循环次数后,接着将导体沉积在所述衬底的所述特征中。
10.根据权利要求9所述的方法,其中所述导体为铜。
11.一种用于在衬底的特征中形成自形成阻挡层的方法,其包括:
在所述衬底的所述特征中沉积金属衬里,所述金属衬里沉积在所述衬底的电介质上方;
将含铟前体沉积在所述金属衬里上方;
执行所述衬底的热浸泡;
将所述含铟前体的所述沉积和所述衬底的所述热浸泡重复预定的循环次数;
其中所述方法在所述金属衬里和所述电介质之间的界面形成含铟的阻挡层。
12.根据权利要求11所述的方法,其中所述金属衬里由钌组成。
13.根据权利要求11所述的方法,其中所述金属衬里由钴组成。
14.根据权利要求11所述的方法,其中所述特征为通孔。
15.根据权利要求11所述的方法,其中所述特征为互连件。
16.根据权利要求11所述的方法,其中所述含铟前体为二甲基铟。
17.根据权利要求11所述的方法,其中沉积所述含铟前体通过化学气相沉积工艺来执行。
18.根据权利要求11所述的方法,其中所述热浸泡在约180至400℃的温度下执行。
19.根据权利要求11所述的方法,其还包括:
在所述重复所述预定的循环次数后,接着将导体沉积在所述衬底的所述特征中。
20.根据权利要求19所述的方法,其中所述导体为铜。
CN201980042464.XA 2018-06-30 2019-06-28 用于衬里钝化和粘附性改善的金属衬里的锌化和掺杂 Pending CN112352065A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862692666P 2018-06-30 2018-06-30
US62/692,666 2018-06-30
PCT/US2019/040014 WO2020006532A1 (en) 2018-06-30 2019-06-28 Zincating and doping of metal liner for liner passivation and adhesion improvement

Publications (1)

Publication Number Publication Date
CN112352065A true CN112352065A (zh) 2021-02-09

Family

ID=68985255

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980042464.XA Pending CN112352065A (zh) 2018-06-30 2019-06-28 用于衬里钝化和粘附性改善的金属衬里的锌化和掺杂

Country Status (6)

Country Link
US (1) US20210166971A1 (zh)
JP (1) JP7368394B2 (zh)
KR (1) KR20210016477A (zh)
CN (1) CN112352065A (zh)
TW (1) TWI825115B (zh)
WO (1) WO2020006532A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113161284A (zh) * 2020-01-07 2021-07-23 台湾积体电路制造股份有限公司 用于制造互连结构的方法
WO2022035894A1 (en) * 2020-08-13 2022-02-17 Lam Research Corporation Combined self-forming barrier and seed layer by atomic layer deposition
FR3115046B1 (fr) * 2020-10-09 2023-12-01 Aveni Procédé pour la fabrication d’une mémoire 3D-NAND
WO2022250410A1 (ko) * 2021-05-25 2022-12-01 서울대학교산학협력단 배선 형성 방법
WO2023120318A1 (ja) * 2021-12-24 2023-06-29 東京エレクトロン株式会社 無電解めっき液及び配線基板の製造方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020187631A1 (en) * 2000-12-06 2002-12-12 Ki-Bum Kim Copper interconnect structure having stuffed diffusion barrier
US20080054467A1 (en) * 2006-09-06 2008-03-06 Sony Corporation Method for manufacturing a semiconductor device and semiconductor device
CN103426815A (zh) * 2012-04-26 2013-12-04 应用材料公司 用于部件填充的半导体反流处理
US9177858B1 (en) * 2014-05-08 2015-11-03 GlobalFoundries, Inc. Methods for fabricating integrated circuits including barrier layers for interconnect structures
US20150371898A1 (en) * 2014-06-23 2015-12-24 Global Foundries, Inc. Integrated circuits including modified liners and methods for fabricating the same
CN105225925A (zh) * 2014-06-25 2016-01-06 朗姆研究公司 用于互连件覆盖应用的金属互连件中的碳基污染物的清洁
KR20160108784A (ko) * 2015-03-06 2016-09-20 에스케이하이닉스 주식회사 확산 방지막의 형성 방법, 상기 확산 방지막을 포함하는 반도체 소자의 금속 배선 및 이의 제조 방법

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7160819B2 (en) * 2005-04-25 2007-01-09 Sharp Laboratories Of America, Inc. Method to perform selective atomic layer deposition of zinc oxide
JP4634977B2 (ja) * 2006-08-15 2011-02-16 Okiセミコンダクタ株式会社 半導体装置及び半導体装置の製造方法
KR100835839B1 (ko) * 2006-11-27 2008-06-05 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
JP2008205298A (ja) * 2007-02-21 2008-09-04 Renesas Technology Corp 半導体装置及びその製造方法
US20100244252A1 (en) * 2009-03-31 2010-09-30 Jezewski Christopher J Self Forming Metal Fluoride Barriers for Fluorinated Low-K Dielectrics
US7951708B2 (en) * 2009-06-03 2011-05-31 International Business Machines Corporation Copper interconnect structure with amorphous tantalum iridium diffusion barrier
JP5481989B2 (ja) * 2009-07-22 2014-04-23 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5429078B2 (ja) * 2010-06-28 2014-02-26 東京エレクトロン株式会社 成膜方法及び処理システム
US20120070981A1 (en) * 2010-09-17 2012-03-22 Clendenning Scott B Atomic layer deposition of a copper-containing seed layer
US8736055B2 (en) * 2012-03-01 2014-05-27 Lam Research Corporation Methods and layers for metallization
US8722531B1 (en) * 2012-11-01 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
JP6494940B2 (ja) * 2013-07-25 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
US9385080B2 (en) * 2014-08-15 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
US9716065B2 (en) * 2015-09-14 2017-07-25 International Business Machines Corporation Via bottom structure and methods of forming
US9842805B2 (en) * 2015-09-24 2017-12-12 International Business Machines Corporation Drive-in Mn before copper plating
US10157784B2 (en) * 2016-02-12 2018-12-18 Tokyo Electron Limited Integration of a self-forming barrier layer and a ruthenium metal liner in copper metallization
JP6777933B2 (ja) * 2016-12-02 2020-10-28 株式会社高純度化学研究所 化学蒸着用原料及びその製造方法、並びに該化学蒸着用原料を用いて形成されるインジウムを含有する酸化物の膜の製造方法
US10501846B2 (en) * 2017-09-11 2019-12-10 Lam Research Corporation Electrochemical doping of thin metal layers employing underpotential deposition and thermal treatment

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020187631A1 (en) * 2000-12-06 2002-12-12 Ki-Bum Kim Copper interconnect structure having stuffed diffusion barrier
US20080054467A1 (en) * 2006-09-06 2008-03-06 Sony Corporation Method for manufacturing a semiconductor device and semiconductor device
CN103426815A (zh) * 2012-04-26 2013-12-04 应用材料公司 用于部件填充的半导体反流处理
US9177858B1 (en) * 2014-05-08 2015-11-03 GlobalFoundries, Inc. Methods for fabricating integrated circuits including barrier layers for interconnect structures
US20150371898A1 (en) * 2014-06-23 2015-12-24 Global Foundries, Inc. Integrated circuits including modified liners and methods for fabricating the same
CN105225925A (zh) * 2014-06-25 2016-01-06 朗姆研究公司 用于互连件覆盖应用的金属互连件中的碳基污染物的清洁
KR20160108784A (ko) * 2015-03-06 2016-09-20 에스케이하이닉스 주식회사 확산 방지막의 형성 방법, 상기 확산 방지막을 포함하는 반도체 소자의 금속 배선 및 이의 제조 방법

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
游效曾著: "光电功能化合物", 上海科学技术出版社, pages: 63 *

Also Published As

Publication number Publication date
TW202014536A (zh) 2020-04-16
JP2021529435A (ja) 2021-10-28
TWI825115B (zh) 2023-12-11
US20210166971A1 (en) 2021-06-03
JP7368394B2 (ja) 2023-10-24
KR20210016477A (ko) 2021-02-15
WO2020006532A1 (en) 2020-01-02

Similar Documents

Publication Publication Date Title
JP7368394B2 (ja) ライナの不動態化および接着性改善のための金属ライナのジンケート処理およびドーピング
US11056385B2 (en) Selective formation of metallic films on metallic surfaces
US10049924B2 (en) Selective formation of metallic films on metallic surfaces
US6464779B1 (en) Copper atomic layer chemical vapor desposition
US7727880B1 (en) Protective self-aligned buffer layers for damascene interconnects
JP5366235B2 (ja) 半導体装置の製造方法、半導体製造装置及び記憶媒体
US7704873B1 (en) Protective self-aligned buffer layers for damascene interconnects
JP5773306B2 (ja) 半導体素子構造を形成する方法および装置
US8430992B1 (en) Protective self-aligned buffer layers for damascene interconnects
WO2018191183A1 (en) Low resistivity films containing molybdenum
US20030034251A1 (en) Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
JP2004525510A (ja) 拡散バリアを有する銅の配線構造
JP6030439B2 (ja) マンガン含有膜の形成方法、処理システム、および電子デバイスの製造方法
KR20140085330A (ko) 금속 망간막의 성막 방법, 처리 시스템, 전자 디바이스의 제조 방법 및 전자 디바이스
KR102394249B1 (ko) 코발트에 대한 망간 배리어 층 및 접착 층
KR20090088963A (ko) 반도체 장치 및 그 제조 방법
TW201445002A (zh) 用於氮化錳整合之方法
JP3715975B2 (ja) 多層配線構造の製造方法
KR100357183B1 (ko) 반도체 소자의 구리 박막 형성 방법
US6790777B2 (en) Method for reducing contamination, copper reduction, and depositing a dielectric layer on a semiconductor device
CN108122821A (zh) 互连结构及其形成方法
JP2023502512A (ja) 金属相互接続構造におけるドーピングプロセス
TWI541836B (zh) 用於沉積具有低電阻率及堅固微黏附特性之鎢薄膜之方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination