JP7368394B2 - ライナの不動態化および接着性改善のための金属ライナのジンケート処理およびドーピング - Google Patents

ライナの不動態化および接着性改善のための金属ライナのジンケート処理およびドーピング Download PDF

Info

Publication number
JP7368394B2
JP7368394B2 JP2020571818A JP2020571818A JP7368394B2 JP 7368394 B2 JP7368394 B2 JP 7368394B2 JP 2020571818 A JP2020571818 A JP 2020571818A JP 2020571818 A JP2020571818 A JP 2020571818A JP 7368394 B2 JP7368394 B2 JP 7368394B2
Authority
JP
Japan
Prior art keywords
zinc
substrate
copper
indium
feature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020571818A
Other languages
English (en)
Other versions
JP2021529435A (ja
Inventor
ジョイ・アニルッダ
ディクタス・ドリス
ドーディ・イエッジ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2021529435A publication Critical patent/JP2021529435A/ja
Application granted granted Critical
Publication of JP7368394B2 publication Critical patent/JP7368394B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C10/00Solid state diffusion of only metal elements or silicon into metallic material surfaces
    • C23C10/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C10/00Solid state diffusion of only metal elements or silicon into metallic material surfaces
    • C23C10/28Solid state diffusion of only metal elements or silicon into metallic material surfaces using solids, e.g. powders, pastes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C10/00Solid state diffusion of only metal elements or silicon into metallic material surfaces
    • C23C10/60After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1614Process or apparatus coating on selected surface areas plating on one side
    • C23C18/1616Process or apparatus coating on selected surface areas plating on one side interior or inner surface
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1651Two or more layers only obtained by electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1653Two or more layers with at least one layer obtained by electroless plating and one layer obtained by electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1689After-treatment
    • C23C18/1692Heat-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1689After-treatment
    • C23C18/1692Heat-treatment
    • C23C18/1696Control of atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1803Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
    • C23C18/1824Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment
    • C23C18/1827Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment only one step pretreatment
    • C23C18/1834Use of organic or inorganic compounds other than metals, e.g. activation, sensitisation with polymers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/48Coating with alloys
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/38Coating with copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Electrochemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

ドープされた銅配線を形成するための現在の技術は、主に物理気相堆積(PVD)によるものである。CuMn(マンガンドープ銅)およびCuAl(アルミニウムドープ銅)は、注目される2つの主要なドープ銅(Cu)種であり、PVDによって堆積される。これは、限界寸法(CD)がより小さく、アスペクト比(AR)がより大きい将来のテクノロジーノードにスケーリング可能な技術ではない。高アスペクト比の幅狭構造のためにCuMnまたはCuAlの原子層堆積(ALD)共堆積プロセスを設計することは、非常に困難である。さらに、MnドーパントおよびAlドーパントは、Cu線の抵抗率を大幅に増加させる(それぞれ2.8および1.25uohm-cm/at%)。したがって、抵抗ペナルティが少ない代わりのドーパントも望まれている。
また、電着によるライナ(CoまたはRuなど)の直接Cuメタライゼーションは、配線工程(バックエンド、BEOL)における課題となっている。ライナ材料のような異種基板に直接めっきするには、天然金属酸化物を還元するために広範囲の表面前処理が必要である。さもなければ、前述のライナ上でのCuの核形成が不十分となる。その結果、薄いライナへの直接電気めっきを必要とする将来の配線のメタライゼーションにとって、表面処理およびキュー時間の管理が極めて重要である。
本開示の実施態様は、このような状況で生じるものである。
配線金属のドーピングは、以前から様々な理由(例えば、自己形成バリア、エレクトロマイグレーションの改善)により望ましいとされている。本開示の実施態様によれば、配線金属の新規なトップダウンドーピング方法が提供される。これは、確立された技術(例えば、CVD、ALD、PVDなど)によって共形金属酸化物層を配線構造上に堆積することを伴う。次に、配線金属上の金属酸化物は、熱処理によって元素金属に選択的に還元される。還元された金属は配線金属に拡散し、ドープされた配線を提供する。
また、共形酸化亜鉛層を使用して、ライナを酸化から保護する。還元環境における熱処理後、ZnOは金属亜鉛に還元され、その後、金属亜鉛が亜鉛/誘電体界面に移行して、接着性、および場合によってはバリア特性を提供する。ライナ層内の未反応の金属亜鉛の一部は、酸化亜鉛層を優先的に形成することによって、空気に曝露されたときにライナを酸化から保護する。
いくつかの実施態様において、基板のフィーチャ内に自己形成バリアを形成するための方法を提供する。この方法は、以下の動作を含む:基板のフィーチャ内に金属ライナを堆積することであって、金属ライナは、基板の誘電体上に堆積されること;金属ライナ上に亜鉛含有前駆体を堆積すること;基板のサーマルソークを実施すること;亜鉛含有前駆体の堆積および基板のサーマルソークを所定のサイクル数にわたって繰り返すこと。この方法は、金属ライナと誘電体の間の界面に亜鉛含有バリア層を形成する。
いくつかの実施態様では、金属ライナは、ルテニウムからなる。
いくつかの実施態様では、金属ライナは、コバルトからなる。
いくつかの実施態様では、フィーチャは、ビアである。
いくつかの実施態様では、フィーチャは、配線である。
いくつかの実施態様では、亜鉛含有前駆体は、ジエチル亜鉛である。
いくつかの実施態様では、亜鉛含有前駆体を堆積することは、化学気相堆積プロセスによって実施される。
いくつかの実施態様では、サーマルソークは、約180~400℃の温度で実施される。
いくつかの実施態様では、この方法は、所定のサイクル数にわたる繰り返しの後、基板のフィーチャ内に導体を堆積することをさらに含む。
いくつかの実施態様では、導体は、銅である。
いくつかの実施態様において、基板のフィーチャ内に自己形成バリアを形成するための方法を提供する。この方法は、以下の動作を含む:基板のフィーチャ内に金属ライナを堆積することであって、金属ライナは、基板の誘電体上に堆積されること;金属ライナ上にインジウム含有前駆体を堆積すること;基板のサーマルソークを実施すること;インジウム含有前駆体の堆積および基板のサーマルソークを所定のサイクル数にわたって繰り返すこと。この方法は、金属ライナと誘電体の間の界面にインジウム含有バリア層を形成する。
いくつかの実施態様では、金属ライナは、ルテニウムからなる。
いくつかの実施態様では、金属ライナは、コバルトからなる。
いくつかの実施態様では、フィーチャは、ビアである。
いくつかの実施態様では、フィーチャは、配線である。
いくつかの実施態様では、インジウム含有前駆体は、トリメチルインジウムである。
いくつかの実施態様では、インジウム含有前駆体を堆積することは、化学気相堆積プロセスによって実施される。
いくつかの実施態様では、サーマルソークは、約180~400℃の温度で実施される。
いくつかの実施態様では、この方法は、所定のサイクル数にわたる繰り返しの後、基板のフィーチャ内に導体を堆積することをさらに含む。
いくつかの実施態様では、導体は、銅である。
本明細書における開示の他の態様および利点は、本開示の原理を例として示す添付の図面と併せて、以下の詳細な説明から明らかになるであろう。
本開示の実施態様は、例示される図を参照して理解されよう。
図1Aは、次世代テクノロジーノードを可能にするために限界寸法の大きさが縮小するときに発生する問題を示す図である。
図1Bは、本開示の実施態様による、自己形成バリア層の形成プロセスを示す図である。
図2は、本開示の実施態様による、ブランケット基板への銅-インジウム(Cu-In)の無電解堆積による界面層形成を実証する図である。
図3は、本開示の実施態様による、銅-インジウムの無電解堆積によって実施されるビア充填の様々な画像を示す図である。
図4は、本開示の実施態様による、基板のビア構造における様々なアニール条件およびそれに起因するインジウムの分布を示す図である。
図5は、本開示の実施態様による、上述の2段階アニール後のビアの事前充填におけるインジウムおよび銅の存在を示す、EDSマップ画像500を示す図である。
図6は、本開示の実施態様による、金属酸化物層のアニーリングを介した自己形成バリアの生成プロセスを示す図である。
図7は、本開示の実施態様による、上述のプロセスに従って処理されたビア構造の特性を示しており、酸化亜鉛および亜鉛がビアの事前充填に拡散することを実証する図である。
図8Aは、本開示の実施態様による、ALD前駆体を用いたドーピングプロセスを示す図である。
図8Bは、本開示の実施態様による、自己形成バリア(SFB)の形成のためにRuライナをドーピングするプロセスを概念的に示す図である。
図8Cは、本開示の実施態様による、ビアのメタライゼーションのためのプロセスを概念的に示す図である。
図9は、本開示の実施態様による、ZnO層の堆積、およびその後の熱処理を通じて、どのようにZnがCuおよびRuにドープされ得るかをさらに示す図である。
図10は、本開示の実施態様による、Cu中のドープZnが金属である理由、そして空気に曝露されたとき、どのように亜鉛がCuの上に酸化亜鉛層を形成し得るかを示す図である。
図11は、本開示の実施態様による、本開示の概念をどのように適用すれば、直接めっき可能なライナを実現できるかを示す図である。
図12は、本開示の実施態様を実施するためのコンピュータシステムの簡略概略図である。
以下の説明では、例示的な実施態様の十分な理解を提供するために、特定の詳細が多数記載される。しかし、例示的な実施態様は、これらの特定の詳細の一部を伴わずに実施されてもよいことが当業者には明らかであろう。他の例では、プロセス動作および実施態様の詳細が既に周知である場合、詳細には説明されていない。
本明細書で使用する場合、「約」および「およそ」という用語は、指定されたパラメータが妥当な許容範囲内、例えば、いくつかの実施態様では±10%、いくつかの実施態様では±15%、またはいくつかの実施態様では±20%で変更することができることを意味する。
本開示の実施態様は、金属配線のドーピング方法を提供するものであり、無電解共堆積によるもの、金属酸化物の選択的還元によるもの、および熱解離によるものを含む。
トップダウンドーピング法により、高アスペクト比構造におけるCuX(X=ドーピング元素)の共堆積プロセスが不要になる。メタライゼーション後、下記(1)、(2)によりドーピング元素を配線金属に打ち込むことが可能である:(1)金属がドーピング元素である金属酸化物層の堆積(例えば、Al2O3、ZnO、MnO2、SnO2、およびIn2O3などのための金属酸化物プロセスが確立されている(例えば、ALD、CVD、またはPVD))、(2)高温での配線金属への熱解離前駆体(例えば、ALD前駆体が考えられる)の投入。さらに、本明細書で説明するトップダウンドーピング法によれば、ZnおよびInのように抵抗がより低い(それぞれ0.25および1.1uohm-cm/at%)、代わりのドーピング元素が可能である。
このような方法は、自己形成バリア(SFB)を生成し、エレクトロマイグレーション(EM)を改善するためのCuのドーピングを、PVDでは現在不可能な、より小さな寸法に対して行うことを可能にする。将来のテクノロジーノードにおいて、このような自己形成バリアおよびエレクトロマイグレーションの改善は、バリアスケーリングの停止(抵抗率の増加)時およびJmax(最大電流)の増加(EM障害)時に必要になるだろう。
本明細書で説明する概念は、ZnO、SnO2、InO2、およびMnO2などの金属酸化物に適用可能である(ALDおよびPVD)。例えば、ZnOを金属酸化物と見なすと(あくまでも一例であり、これに限定されるものではない)、熱処理の後、ZnOはCu上およびRu上で金属Znに選択的に還元され、その金属Znが下層の金属に拡散して、ドープされた配線を形成する。
RuまたはCoなどのライナ上に直接めっきを行うための現在の技術は、300℃を超える表面前処理を行って天然金属酸化物を還元することを伴う。その後のキュー時間の管理は、ライナの再酸化を防ぐために極めて重要である。異種基板上の電気化学的核形成は表面条件および表面状態に非常に敏感であるため、これは大きな課題である。
ジンケート処理(亜鉛置換)は、アルミニウムを金属化するための既知のプロセスである。このプロセスでは、金属アルミニウムが高アルカリ性の溶液中でZn(OH)2-と反応し、アルミニウム上に亜鉛を堆積する。この亜鉛層がアルミニウムの再酸化を防ぎ、アルミニウムの金属化(メタライゼーション)を可能にする。
しかし、本開示の実施態様では、ALDプロセスまたはCVDプロセス、およびその後の熱処理による「ジンケート処理」を提供する。従来の「ジンケート処理」で使用されるアルカリプロセスを半導体製造において利用することは実用的ではない。
Ru、Co、Moなどのライナ材料上に堆積された共形酸化亜鉛は、還元環境における熱処理を介して金属亜鉛に還元することができる。還元された亜鉛は、(1)ライナ/金属界面でケイ酸亜鉛化合物を形成して接着性を改善し、(2)周囲条件に曝露されたときにライナを再酸化から保護し、(3)ライナに粒界を「詰め込み」、界面化合物を形成することによってバリア特性を改善することができる。
SnO2、In2O3、GeO2、FexOy、MnO2、CoOxなど、いくつかの他の金属酸化物が同様の性質を示す場合がある。
図1Aは、次世代テクノロジーノードを可能にするために限界寸法の大きさが縮小するときに発生する問題を示している。フィーチャサイズが縮小すると、伝導領域がバリア層とライナ層に比べて失われるため、バリア層とライナ層の高い抵抗が支配的となる。図示される導体線およびビアの場合、導体断面がライナ層とバリア層に侵食されているため、現在のライナ/バリア構成は将来のテクノロジーノードに合わせてスケーリングできない。また、導体断面の縮小に加えて、現在のライナおよびバリア層が抵抗接触を発生するため、例えば、1Dパターニングでは、ビア抵抗が支配的な抵抗になりつつある。
図1Bは、本開示の実施態様による、自己形成バリア層の形成プロセスを示している。基板にエッチングされた配線フィーチャの断面を参照番号100で示す。フィーチャは、ビア108とトレンチ106を含む。フィーチャは誘電体にパターニングされており、いくつかの実施態様では、この誘電体が酸化物(SiO2など)またはlow-k誘電体であり得る。ビアの底部には、下層の導体(Cu)線104が存在する。参照番号110に示すように、無電解堆積プロセスを用いて、ドープされた銅種CuX(Xはドーパントである)からなるビアの事前充填(VPF)112を堆積する。様々な実施態様において、無電解堆積プロセスによって銅などの導体と共堆積でき、本明細書に記載のような自己形成バリアまたは接着層を提供できるZn、In、Sn、または他の元素を、Xとすることができる。
次に、還元環境における熱処理を実施する。これは、参照番号112に示すように、ビア側壁へのドーパントXの移行を引き起こし、かつ/または加速する。そして、ドーパントXは、ビア側壁に自己形成バリア層114を形成する。自己形成バリア層114は、側壁への接着性を改善し、エレクトロマイグレーションを防ぐ。ドーパントXは、酸化物と反応してケイ酸塩錯体を形成すると考えられている。ドーパントが側壁に移行したので、ビアの事前充填112はこの時点でほぼ純銅であることが理解されよう。
自己形成バリア114の生成に続いて、次に、参照番号116に示すように、標準的なメタライゼーションプロセスを実施することができる。このプロセスは、例えば、バリア/ライナ層118の堆積、およびそれに続くバルク導体120の堆積を含む。別の実施態様では、ライナのみを堆積し、ドープされた銅の充填を別に実施し、続いてアニールすることで、ビアの事前充填に関して説明したものと同様の自己形成バリアを形成することが可能であろう。
前述のプロセスには、いくつかの利点が存在する。ビアの導体部分の断面積が最大になるとビアの抵抗が減少する。さらに、ビアが既に充填されているため、アスペクト比が小さくなったトレンチに対してCuの電気めっき(ECP)を行うことが可能になる。これは、より高アスペクト比のビアを可能にするので有利である。以前は、トレンチとビアのアスペクト比は、約4対1(高さ対幅)を超えることができなかった。しかし、本明細書に記載のようなプロセスでは、トレンチの充填をビアの充填から切り離すことができるため、はるかに高いビアを形成することができ、これにより中間層間の静電容量を低下させる。そして、充填プロセス(例えば、ECP)でビアの深さまで充填する必要がなくなってトレンチをより高くすることが可能になったので、トレンチについても、あたかもアスペクト比によって限定されたかのように、より高いトレンチとすることが可能である。したがって、このプロセスはビア抵抗を低減し、アスペクト比を小さくすることができるため、電気めっきプロセスの実行可能性が広がる。
図2は、本開示の実施態様による、ブランケット基板への銅-インジウム(Cu-In)の無電解堆積による界面層形成を実証している。参照番号200に示すように、基板表面は、最初は熱酸化物層202上のCu層204(例えば、厚さ5nm)からなる。これは、一例としてビアの界面構造を模倣しているが、これに限定されるものではない。次に、参照番号206に示すように、Cu層204上に無電解堆積によってCu-In層208(例えば、厚さ約100nm)を堆積し、Cu-In層208上に無電解堆積によってCu層210(例えば、厚さ約40nm)を堆積する。
形成ガスアニールを350℃で実施した。得られた膜構造を参照番号212で示す。インジウムのほとんどが酸化物層202との界面に移行し、移行したインジウムの少なくとも一部がこの界面で酸化インジウム層214(例えば、In2O3)を形成すると考えられている。残りのバルクCu層216は2つの層208と210を含んでおり、微量のインジウムを含む可能性はあるが、大部分が純銅である。インジウムの大部分は酸化物界面に移行済みであり、さらに、酸素に曝露された場合には、構造上部の空気界面にも移行している可能性がある(例えば、大気条件に曝露されたときに起こりうる)。
接着試験を実施する目的で、参照番号218に示すように、追加の厚いCu層220(例えば、厚さ約400nm)をPVDによってバルク銅層216上に堆積する。参照番号222は、上記のプロセスに従って処理された基板であり、接着性を試験する剥離試験の実施後の状態を示している。図示されるように、表面構造の銅含有層は、剥離試験後もほとんど無傷であり、酸化インジウム層214に起因する強い接着性を示している。
対照プロセスをブランケット基板に対して実施した。参照番号230に示すように、Cu層204上に無電解堆積によってCu層232(厚さ約160nm)を堆積した。同じ形成ガスアニールを350℃で実施し、酸化物層202上にバルク銅層236を得た。このCu層236上に、厚い銅層238をPVDによって堆積した。参照番号242に示すように、得られた基板を剥離試験で試験した。この図から分かるように、銅含有層は基板から剥離しており、上述のインジウム含有構造の接着性と比較して相対的に接着性が低いことを示している。
参照番号250の透過型電子顕微鏡(TEM)画像は、参照番号212で説明したように処理された形成ガスアニール後の基板の一部(処理を経てインジウムを含むようになった銅と酸化物の界面の領域を含む)の断面を示している。小部分252を電子回折分光法(EDS)によって観察し、そのEDSマップを参照番号260に示す。この図から分かるように、インジウム(参照番号264)は、銅(参照番号266)と酸化ケイ素(262)との間に位置している。グラフ270は、Cu(曲線272)、In(曲線274)、およびSi(曲線276)の原子百分率を、深さの関数として示している。ここでも、インジウムは、銅から酸化ケイ素への遷移部に見られる。
図3は、本開示の実施態様による、銅-インジウムの無電解堆積によって実施されるビア充填の様々な画像を示す。画像300は、無電解堆積によるビア充填後にトレンチおよびビアがパターニングされた基板表面のトップダウン走査型トンネル顕微鏡(STM)画像である。基板に対し、形成ガス下において200℃で5分間、予備洗浄を行った。銅-インジウムの無電解堆積を40秒実施し、続いて350℃の形成ガスアニールを20分間実施した。画像302は、画像300の一部の拡大図を示す。画像308(ビアの充填寸法をnmで示す)、310、および312は、画像300/302に従ってビア充填後のビア構造を示す断面TEM画像である。
画像304は、上述と同様に処理された基板のトップダウン走査型トンネル顕微鏡(STM)画像であるが、銅-インジウムの無電解堆積の実施時間がより長く、90秒であった。画像306は、画像304の一部の拡大図を示す。画像314、316、および318は、画像304/306に従ってビア充填後のビア構造を示す断面TEM画像である。これらの画像から分かるように、ビア充填の高さおよびオーバーフロー幅は、充填時間が40秒の場合よりも増加している。
銅インジウムの無電解堆積のための電解質堆積溶液は、銅の塩化物塩やインジウムの塩化物塩(例えば、InCl3、CuCl2)のように、銅源とインジウム源の両方を含むことが理解されよう。いくつかの実施態様では、溶液は、還元剤としてコバルトを含む。いくつかの実施態様では、溶液は、リガンドとしてエチレンジアミンまたは別のアミン含有分子を含む。いくつかの実施態様では、無電解堆積が、約5~10の範囲のpH、および約20~80℃の範囲の温度で実施される。いくつかの実施態様では、pHの範囲は約7~9である。いくつかの実施態様では、温度は、室温、または25℃付近、または約25℃である。
図4は、本開示の実施態様による、基板のビア構造における様々なアニール条件およびそれに起因するインジウムの分布を示している。上述の技法に従って、90秒間ビアに銅インジウムを無電解充填し(ビアの事前充填(VPF)を形成する)、異なるアニーリング方法を実施した。画像400および404は、図示のように異なるアニール条件によるビア充填のTEM断面を示す。また、TEM断面の下に、対応するEDSマップ画像402および406を示す。これらの画像は、インジウムの存在および場所を示している。
これらの画像から分かるように、ビアの事前充填界面(酸化物および空気との界面)へのインジウムの偏析は、EDS画像406によって示されるように、短時間の低温アニール、およびその後の長時間の高温アニールを含む2段階アニール(還元環境、例えば、形成ガス中で実施)によって著しく改善される。ビアの事前充填界面へのインジウムの移行は、低温アニールまたは高温アニールを1回だけ実施した他のサンプルよりも大幅に明確である。
したがって、いくつかの実施態様では、低温(例えば、約150~200℃の範囲)での第1のアニール、およびその後の高温(例えば、約300~400℃の範囲)での第2のアニールからなる2段階アニールが利用される。いくつかの実施態様では、第1のアニールはより短時間(例えば、約1~5分の範囲)であり、第2のアニールは、より長時間(例えば、約10~30分の範囲)である。
図5は、本開示の実施態様による、上述の2段階アニール後のビアの事前充填におけるインジウムおよび銅の存在を示すEDSマップ画像500である。図示されるように、インジウムはビアの事前充填構造の外縁に集中しており、ビアの事前充填自体は大部分が純銅である。
本開示に記載のように、導体金属(銅)は、自己形成バリアを達成するためにドープおよびアニールすることができる。電気陰性ドーパント金属(例えば、Zn、In、Sn)は、いかなるものであっても、酸化物側壁に向かって移行し、側壁において酸化剤をクエンチし、それによって銅の酸化を防ぐはずであることに留意されたい。しかし、特定の金属を具体的にどのようにドープするかという課題が残っている。上述した無電解堆積は、(例えば、ビア内で)選択的堆積を提供するには有利である。しかし、銅の無電解堆積はかなり容易に可能である一方、ドープ銅の無電解堆積はドーパントによって困難な場合がある。例えば、亜鉛やマンガンのような電気陰性度の高い元素は、無電解堆積によって銅と共堆積することが難しい場合がある。
上記を考慮して、自己形成バリアを達成するために必要な金属を供給する別の方法は、気相プロセスを用いて構造(例えば、ビア事前充填構造)の上部から金属(例えば、Zn、In、Sn、Mn)を注入することである。したがって、いくつかの実施態様では、共形酸化亜鉛層を堆積し、その後、還元して金属亜鉛に戻し、この金属亜鉛を導体金属に拡散させることができる。最近発見された別の方法は、CVDに似たプロセスを使用した直接熱解離によるものである。例えば、加熱されたウエハ上に亜鉛前駆体を供給してもよく、その亜鉛前駆体がウエハの金属部分で選択的に分解されて金属に拡散することが見出された。
図6は、本開示の実施態様による、金属酸化物層のアニーリングを介した自己形成バリアの生成プロセスを示している。参照番号600は、下層の導体線604(例えば、銅)への配線を形成するため基板表面にパターニングされたフィーチャを示しており、このフィーチャは、酸化物602内にエッチングされたビア606およびトレンチ608を含む。参照番号610に示すように、無電解堆積プロセスを用いて、ビアの事前充填612(例えば、銅)を堆積する。無電解堆積プロセスは、導体線604に対して選択的であるため、有利である。
参照番号614に示すように、共形金属酸化物層616(例えば、ZnO、MnO2、SnO2、In2O3)を、ALD、PVD、またはCVDなどの共形堆積プロセスによってトレンチ608内に堆積する。次に、還元環境における熱処理(またはアニール)を実施する。いくつかの実施態様では、熱処理は約200~350℃の範囲である。いくつかの実施態様では、還元環境は形成ガス環境によって定義される。この熱処理により、ビアの事前充填612上に位置する金属酸化物が選択的に破壊される。その結果、金属が下層のビアの事前充填に拡散し、さらにビアの酸化物側壁との界面に移行して、その界面に、接着性を改善するとともにエレクトロマイグレーションを阻害する自己形成バリア620を形成する。
画像630は、ビアのCu事前充填上に堆積された酸化亜鉛膜を使用して、上述の方法に従って処理されたビア構造のTEM断面画像である。図示されるように、熱処理の後、ZnOはビアの事前充填自体には存在していない。これは、ZnOが金属Znに還元され、その金属ZnがビアのCu事前充填内に拡散したからである。Znは、銅と酸化物の間の界面へのビア側壁に移行し、自己形成バリアを形成することができる。上記のように、自己形成バリアは、一つには、側壁でのケイ酸亜鉛の形成に由来している可能性がある。
いくつかの実施態様では、この熱処理が、残りの酸化亜鉛層616(ビアの事前充填612上に位置しない酸化亜鉛層)にも(例えば、トレンチ608の側壁に沿って)ケイ酸亜鉛を形成させる。これは、同じプロセスによってトレンチとビア壁の両方に沿って連続的なバリアが得られるため、有利である。さらに、トレンチのバルク充填を(例えば、銅に選択性を提供する無電解堆積によって)実施することができ、そうすると導体の断面積が最大化され、抵抗接触が回避されることになり、これらすべてによって電気的性能が改善される。
いくつかの実施態様では、残りの酸化亜鉛層616(ビアの事前充填612上に位置しない酸化亜鉛層)を、例えば、水または酸で除去する。
図7は、本開示の実施態様による、上述のプロセスに従って処理されたビア構造の特性を示しており、酸化亜鉛および亜鉛がビアの事前充填に拡散することを実証する。具体的には、酸化亜鉛層をALDによって堆積し、その後、350℃の形成ガスアニールを実施した。
EDSマップ画像700は、Zn、O、およびNの存在を示している(図示されるように、構造中にSiN膜が含まれていた)。この画像から分かるように、亜鉛は主に誘電体酸化物の酸素の上で側壁に沿って存在しているが、一部の亜鉛が側壁酸化物に拡散している。注目すべき点として、ビアの事前充填の上または内部には、(微量を超える)亜鉛は存在していない。酸化亜鉛層が消失し、亜鉛はビアの銅事前充填を通して拡散した。代わりに、亜鉛は銅とSiNの間の界面に存在している。
グラフ702は、下層の銅線に平行な、深さの関数としてのO、Cu、Zn、およびNの原子百分率(at%)を示す。曲線704、706、708、および710は、それぞれN、Cu、O、およびZnの原子百分率を示す。
グラフ712は、下層の銅線に垂直な、深さの関数としてのN、O、Cu、およびZnの原子百分率(at%)を示す。曲線714、716、718、および720は、それぞれO、Cu、Zn、およびNの原子百分率を示す。
グラフ722は、銅と窒化物の界面を横切る深さの関数としてのSi、Cu、Zn、およびNの原子百分率(at%)を示す。曲線724、726、728、および730は、それぞれSi、Cu、Zn、およびNの原子百分率を示す。
以上のことから、Cu中のZn濃度は約6~8at%であり、深さと共に減少することがわかる。Inと同様に、ZnもCu/SiN界面に蓄積する。また、一部のZn前駆体が多孔質のlow-k誘電体に浸透している。
図8Aは、本開示の実施態様による、ALD前駆体を用いたドーピングプロセスを示している。参照番号800は、ALDプロセスを示す時間対圧力のグラフである。ALDプロセスは、ジエチル亜鉛(DEZ)(参照番号802)の30msパルスと水(参照番号806)の15msパルスを、20秒のパージ(参照番号804)を挟んで交互に行うことからなり、175℃のプロセス温度で実施された。
TEM画像810は、ポストアニール操作を伴わず、上述のALDプロセスを50サイクル実施した後に形成されたビアの事前充填の寸法およびZnO層の厚さ(nm単位)を示す。対応するEDSマップ画像812は、亜鉛がビアの銅事前充填に拡散していることを示す。これは、ビアの事前充填を貫く深さの関数としての亜鉛および銅の原子百分率のグラフ814によっても示される。曲線816および818は、それぞれ亜鉛および銅の原子百分率を示す。この図から分かるように、亜鉛はビアの事前充填構造の上部に存在しており、金属亜鉛がDEZから解離して、銅に拡散したことを示している。
この図から分かるように、DEZのようなALD前駆体は、金属の存在下で、十分な温度(例えば、約150~200℃以上)で分解し得る。本実施形態の場合、銅は、DEZを金属亜鉛に解離するための触媒として作用している。
これらの発見を考慮して、ALD前駆体のこのような自発的熱解離を利用するCVDドーピングプロセスが提供される。グラフ820は、CVDドーピングプロセスの時間対圧力の関係を示しており、このプロセスでは、金属含有ALD前駆体(例えば、DEZ)の投入ステップ(参照番号822)を実施し、続いてサーマルソーク(熱浸漬)(参照番号824)を実施する。プロセスは、比較的高温、すなわち、ビアの金属事前充填上に堆積されたときにALD前駆体の熱解離を達成するのに十分な温度で実施される。いくつかの実施態様では、プロセス温度は約175~300℃の範囲にある。このサイクルは、所望の量のドーパント金属がビアの事前充填に拡散するまで繰り返される。
参照番号830は、エッチングされた配線構造を示しており、誘電体832にビア836およびトレンチ838がエッチングされて、下層の銅線834への接続を形成している。参照番号840に示すように、無電解堆積プロセスを実施して、ビア836内にビアのCu事前充填842を選択的に堆積する。次に、上述した繰り返しサイクルにおいて、DEZのような金属含有ALD前駆体の投入および所定時間のソーク(浸漬)を行うことによって、ビアの事前充填842をドープする。DEZは、ビアのCu事前充填上で金属亜鉛に熱的に解離し、銅に拡散する。十分な温度において、亜鉛は銅に拡散するとともに誘電体との界面に移行し、前述のように自己形成バリア846を形成することができる。
誘電体界面でケイ酸塩を形成するには、十分に高い温度が必要な場合があることが理解されよう。いくつかの実施態様では、第1の低温(例えば、約175~300℃)でドーピングプロセスを実施し、その後、ケイ酸塩の形成を促進するために、第2の高温(例えば、約300~400℃)でポストアニールを実施する。さらに、亜鉛が下層の銅線834と誘電体832の間の界面(あるいは、SiN層が存在する場合には、銅線834とSiN層の間の界面の可能性もある)にも移行する場合があることに留意されたい。したがって、自己形成バリアは、ビア領域だけでなく、下層の導体の接着性も強化することができる。
上述のプロセスの利点は、酸化剤を必要としないことである。露出した誘電体と一緒に酸化剤を使用すると、欠陥部位や誘電体の損傷がより多く生じる可能性があるため、露出した誘電体と一緒に水またはオゾンを使用しないことが望ましく、そうすることにより、誘電体の完全性が本プロセスでより良好に維持される。
ただし、上述のALDプロセスのように水パルスを使用しない場合、酸化物上で検出可能な亜鉛がそれほど多くないことが判明し、したがって、亜鉛前駆体が酸化物に対して良好な接着性を有さないことに留意されたい。
ここまで、亜鉛によるドーピングおよび亜鉛前駆体DEZの使用について具体的に説明してきたが、他の元素および対応する前駆体で代用できることが理解されよう。いくつかの実施態様では、亜鉛前駆体としてジメチル亜鉛が使用される。様々な実施態様において、ドーパント金属として、Zn、In(例えば、トリメチルインジウム)、Sn、またはMnなどの代わりに、他の有機金属ALD前駆体を適用することができる。
図8Bは、本開示の実施態様による、自己形成バリア(SFB)の形成のためにRuライナをドーピングするプロセスを概念的に示している。参照番号850に示すように、誘電体852(例えば、SiO2、low-k)に形成されたビアは、Ruライナ854でめっきされている。投入とサーマルソークを含むプロセスを複数サイクル実施することができ、これにより、参照番号856に示すように自己形成バリア858を形成する。一例として、約4トル(725sccm N2)のベース圧力でプロセスを実施した。各サイクルは、DEZへの約1分間の曝露、およびその後の2014sccm H2(8トル)での1分間のサーマルソークを含み、台座の温度は約350℃であった。
10サイクル後、深さの関数として得られた原子百分率プロファイルをグラフ860に示す。曲線862、864、866、および868は、それぞれSi、Zn、Ru、および酸化亜鉛の原子百分率を示す。この図から分かるように、Znは、Ru-空気の界面とRu-SiO2の界面の両方に分布している。
図8Cは、本開示の実施態様による、ビアのメタライゼーションのためのプロセスを概念的に示している。参照番号850に示すように、誘電体852に形成されたビアは、RuライナまたはCoライナ854でめっきされている。参照番号870において、図8Bを参照して説明したプロセスに従って熱ドーピングのプロセスサイクルを実施する。これにより、ZnまたはInなどの元素XがRuライナ上に堆積し、ライナ854と誘電体852の間の界面に移行して、自己形成接着/バリア層858が形成される。いくつかの実施態様では、プロセスサイクル/ドーピングプロセスを約180~400℃の温度で実施する。基板を空気に曝露すると、参照番号872に示すように、要素Xの酸化物層874(XO保護層)が表面に形成され、ライナの表面を不動態化する保護層として作用する。
ビアのメタライゼーションの前に、還元環境におけるアニーリング(例えば、形成ガスアニール)などによって酸化物層874を除去する。次に、充填堆積のための任意の既知の方法(ALD、CVD、電気めっき、無電解堆積など)によって、ビアを導体878(例えば、Cu)でバルク充填する。
上述のプロセスをブランケットウエハ上で実証し、そのTEMおよびEDSマップの結果を示した。参照番号880は、Znドーピング後のRu帯を示す断面のTEM画像である。対応するEDSマップ882はRu部分を示しているが、Ru層の両側にZnが分布している。TEM画像884は、表面の酸化亜鉛の除去およびCuメタライゼーションを実施した後のSiO2層、Ru層、およびCu層を示している。対応するEDSマップ画像886は、Ru-酸化物の界面におけるZnを示している。
図9は、本開示の実施態様による、ZnO層の堆積、およびその後の熱処理を通じて、どのようにZnがCuおよびRuにドープされ得るかをさらに示している。PVDによる酸化亜鉛層が銅の上に堆積されたブランケットウエハについて、水素処理前と水素処理後の両方の結果を示す。
EDSマップ画像900および902は、アニールの実施後に酸化亜鉛層が消失することを示す。酸化亜鉛は金属亜鉛に還元され、その金属亜鉛が銅層に拡散する。これは、元素深さプロファイル904にも示されている。
EDSマップ画像906および908、ならびに深さプロファイル910は、ルテニウムについて同様の概念を示す。
図10は、本開示の実施態様による、Cu中のドープZnが金属である理由、そして空気に曝露されたとき、どのように亜鉛がCuの上に酸化亜鉛層を形成し得るかを示している。例示される図は、亜鉛が還元されて銅に拡散するとき、そのプロセスが真空中で行われること、そして、空気に曝露されたとき、拡散した金属亜鉛の一部が出てきて、銅の酸化を防ぐ自己形成酸化亜鉛層を銅層の上に形成することによって銅を不動態化することを実証している。TEM画像1000は、Cu層およびTaN層を示しており、Cuの上にZnO層が存在する。
参照番号1010は、X線光電子分光法(XPS)による銅の表面状態の分析を示しており、ZnOに対応する0オングストロームのスパッタ深さでの(すなわち、表面での)Znピークを実証している。これは、表面の亜鉛は酸化亜鉛であるが、最上層がスパッタリングされた場合には、銅の中の亜鉛が金属亜鉛になる(銅層に留まる金属亜鉛のリザーバを形成する)ことを示している。
参照番号1020は、表面Cuの化学状態を示しており、表面Cuが金属であることを実証している。
参照番号1030は、Znの深さプロファイルの分析を示しており、亜鉛濃度が表面では高く、深さと共に減少することを示している。
参照番号1040は、CuまたはRuが触媒として存在することにより、ZnOの還元温度を400℃未満に下げられる可能性を示している。
図示される実施態様では、TaN層が存在するため、亜鉛が下層の酸化物に移行することが阻止されている。しかし、TaN層が(例えば、ビア内に)存在しない場合、酸化亜鉛は金属亜鉛に還元され、その金属亜鉛がルテニウムに拡散し、酸素を有する2つの界面(Ru下部のRu-酸化物の界面、および空気に曝露されたときZnが酸化するRu上部のRu-酸化物の界面)に偏析する。
参照番号1050は、酸化亜鉛が金属亜鉛に還元されて銅に拡散するメカニズムを示している。その亜鉛は反応性であるため、基板が大気条件に曝露されると、その一部が出てきて、銅を酸化から保護するZnO層を形成する。
図11は、本開示の実施態様による、本開示の概念をどのように適用すれば、直接めっき可能なライナを実現できるかを示している。
いくつかの実施態様では、コントローラは、本開示の実施態様に従って動作または方法を実施するように構成することができるシステムの一部である。そのようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、1つまたは複数の処理用プラットフォーム、および/または特定の処理構成要素(ウエハ台座、ガス流システムなど)を含む半導体処理装置を備えることができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後のシステム動作を制御するための電子機器と一体化されてもよい。そのような電子機器は「コントローラ」と呼ばれることがあり、1つまたは複数のシステムの様々な構成要素または副部品を制御してもよい。コントローラは、処理要件および/またはシステムのタイプに応じて、本明細書に開示されるプロセスのいずれかを制御するようにプログラムされてもよい。そのようなプロセスとしては、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ツールに対するウエハの搬入と搬出、ならびに、特定のシステムに接続または連動する他の搬送ツールおよび/またはロードロックに対するウエハの搬入と搬出が含まれる。
広義には、コントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよい。集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または、1つまたは複数のマイクロプロセッサ、すなわちプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形式でコントローラに通信される命令であって、特定のプロセスを半導体ウエハ上で、または半導体ウエハ用に、またはシステムに対して実行するための動作パラメータを定義してもよい。動作パラメータは、いくつかの実施態様では、1つまたは複数の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/またはウエハダイの製作における1つまたは複数の処理ステップを実現するためプロセスエンジニアによって定義されるレシピの一部であってもよい。
コントローラは、いくつかの実施態様では、システムと統合されるか、システムに結合されるか、他の方法でシステムにネットワーク接続されるコンピュータの一部であってもよく、またはそのようなコンピュータに結合されてもよく、またはそれらの組み合わせであってもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ファブホストコンピュータシステムのすべてもしくは一部であってもよい。これにより、ウエハ処理のリモートアクセスが可能となる。コンピュータは、システムへのリモートアクセスを可能にして、製作動作の現在の進捗状況を監視し、過去の製作動作の履歴を検討し、複数の製作動作から傾向または性能基準を検討し、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定するか、または新しいプロセスを開始してもよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供することができる。そのようなネットワークは、ローカルネットワークまたはインターネットを含んでいてもよい。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでもよく、そのようなパラメータおよび/または設定は、その後リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは命令をデータの形式で受信する。そのようなデータは、1つまたは複数の動作中に実施される各処理ステップのためのパラメータを特定するものである。パラメータは、実施されるプロセスのタイプ、およびコントローラが連動または制御するように構成されるツールのタイプに特有のものであってもよいことを理解されたい。したがって、上述したように、コントローラは、例えば、互いにネットワーク接続され共通の目的(本明細書に記載のプロセスおよび制御など)に向けて協働する1つまたは複数の個別のコントローラを備えることによって分散されてもよい。このような目的のための分散型コントローラの例として、チャンバ上の1つまたは複数の集積回路であって、(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)遠隔配置されておりチャンバにおけるプロセスを制御するよう組み合わせられる1つまたは複数の集積回路と通信するものが挙げられるであろう。
例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連するか使用されてもよい任意の他の半導体処理システムを含むことができるが、これらに限定されない。
上述のように、ツールによって実施される1つまたは複数のプロセスステップに応じて、コントローラは、1つまたは複数の他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツール場所および/もしくはロードポートに対してウエハの容器を搬入および搬出する材料搬送に使用されるツールと通信してもよい。
図12は、本開示の実施態様を実施するためのコンピュータシステムの簡略概略図である。本明細書に記載の方法は、従来の汎用コンピュータシステムなどのデジタル処理システムを用いて実施されてもよいことを理解されたい。あるいは、1つの機能のみを実施するように設計またはプログラムされた専用コンピュータが使用されてもよい。コンピュータシステム1800は、中央処理装置(CPU)1804を含み、CPUは、バス1810を介して、ランダムアクセスメモリ(RAM)1828、読み取り専用メモリ(ROM)1812、およびマスストレージデバイス1814に結合されている。システムコントローラプログラム1808は、ランダムアクセスメモリ(RAM)1828に格納されているが、マスストレージ1814に格納されてもよい。
マスストレージデバイス1814は、フロッピーディスクドライブまたは固定ディスクドライブなどの永続データ記憶装置であり、ローカルであってもリモートであってもよい。ネットワークインターフェース1830が、ネットワーク1832を介して接続を提供し、他のデバイスとの通信を可能にする。CPU1804は、汎用プロセッサ、専用プロセッサ、または特別にプログラムされた論理デバイスとして実装され得ることを理解されたい。入力/出力(I/O)インターフェース1820は、様々な周辺機器との通信を提供し、バス1810を介して、CPU1804、RAM1828、ROM1812、およびマスストレージデバイス1814と接続されている。周辺機器の例は、ディスプレイ1818、キーボード1822、カーソルコントロール1824、リムーバブルメディアデバイス1834などを含む。
ディスプレイ1818は、本明細書に記載のユーザインターフェースを表示するように構成される。キーボード1822、カーソルコントロール(マウス)1824、リムーバブルメディアデバイス1834、および他の周辺機器は、命令選択の情報をCPU1804に通信するために、I/Oインターフェース1820に結合される。外部デバイスとのデータのやりとりは、I/Oインターフェース1820を介して通信されてもよいことを理解されたい。実施態様は、有線または無線ネットワークを介してリンクされた遠隔処理デバイスによってタスクが実施される分散コンピューティング環境で実施されてもよい。
実施態様は、ハンドヘルドデバイス、マイクロプロセッサシステム、マイクロプロセッサベースまたはプログラム可能な家電、ミニコンピュータ、メインフレームコンピュータなどを含む様々なコンピュータシステム構成で実施されてもよい。実施態様は、ネットワークを介してリンクされた遠隔処理デバイスによってタスクが実施される分散コンピューティング環境で実施されてもよい。
上記の実施態様を念頭に置いて、実施態様は、コンピュータシステムに格納されたデータを含め、コンピュータに実装された様々な動作を利用することができることを理解されたい。これらの動作は、物理量の物理操作を必要とするものである。実施態様の一部を形成する本明細書に記載の動作はいずれも、有用な機械動作である。実施態様はまた、これらの動作を実施するためのデバイスまたは装置に関する。装置は、専用コンピュータのように、必要とされる目的向けに特別に構築されてもよい。専用コンピュータとして定義された場合、コンピュータは、専用の目的に含まれない他の処理、プログラム実行、またはルーチンも実施しつつ、専用の目的のために動作することができる。あるいは、動作は、コンピュータメモリ、キャッシュに格納されたまたはネットワークを介して取得された1つまたは複数のコンピュータプログラムによって選択的にアクティベートまたは構成された汎用コンピュータで処理されてもよい。ネットワークを介してデータが取得される場合、そのデータは、ネットワーク(例えば、コンピューティングリソースのクラウド)上の他のコンピュータによって処理されてもよい。
1つまたは複数の実施態様は、コンピュータ可読媒体上でコンピュータ可読コードとして製作されてもよい。コンピュータ可読媒体は、コンピュータシステムによって読み出し可能であるようにデータを格納することができる任意のデータ記憶装置である。コンピュータ可読媒体の例としては、ハードドライブ、ネットワーク接続ストレージ(NAS)、読み取り専用メモリ、ランダムアクセスメモリ、CD-ROM、CD-R、CD-RW、磁気テープ、ならびに他の光学および非光学式のデータ記憶装置が挙げられる。コンピュータ可読媒体は、コンピュータ可読コードが分散的に格納および実行されるように、ネットワーク結合コンピュータシステム上に分散されたコンピュータ可読有形媒体を含むことができる。
方法の動作は特定の順番で記載されているが、オーバーレイ動作の処理が所望の形で実施される限りは、他のハウスキーピング動作が動作の合間に実施されてもよいし、動作が若干異なる時間に実行されるように調整されてもよいし、処理に関連した様々な間隔で処理動作が実行されることを許容するシステムに分散されてもよいことを理解されたい。
したがって、例示的な実施態様の開示は本開示の範囲の例示を意図しているが、それらを限定する意図はなく、本開示の範囲は以下の特許請求の範囲およびその同等物に記載されるものである。理解を深めるために、本開示の例示的な実施態様についてある程度詳しく説明したが、以下の特許請求の範囲内でいくらかの変更および変形を行ってもよいことは明らかである。以下の特許請求の範囲において、各要素および/または各ステップは、請求項において明示的に陳述されていない限り、または本開示によって暗示的に要求されていない限り、動作の特定の順序を暗示するものではない。本開示は以下の適用例を含む。
[適用例1]
基板のフィーチャ内に自己形成バリアを形成するための方法であって、
前記基板の前記フィーチャ内に金属ライナを堆積することであって、前記金属ライナは、前記基板の誘電体上に堆積されることと、
前記金属ライナ上に亜鉛含有前駆体を堆積することと、
前記基板のサーマルソークを実施することと、
前記亜鉛含有前駆体の前記堆積および前記基板の前記サーマルソークを所定のサイクル数にわたって繰り返すことと
を含み、
前記方法は、前記金属ライナと前記誘電体の間の界面に亜鉛含有バリア層を形成する、
方法。
[適用例2]
適用例1に記載の方法であって、
前記金属ライナは、ルテニウムからなる、方法。
[適用例3]
適用例1に記載の方法であって、
前記金属ライナは、コバルトからなる、方法。
[適用例4]
適用例1に記載の方法であって、
前記フィーチャは、ビアである、方法。
[適用例5]
適用例1に記載の方法であって、
前記フィーチャは、配線である、方法。
[適用例6]
適用例1に記載の方法であって、
前記亜鉛含有前駆体は、ジエチル亜鉛である、方法。
[適用例7]
適用例1に記載の方法であって、
前記亜鉛含有前駆体を堆積することは、化学気相堆積プロセスによって実施される、方法。
[適用例8]
適用例1に記載の方法であって、
前記サーマルソークは、約180~400℃の温度で実施される、方法。
[適用例9]
適用例1に記載の方法であって、
前記所定のサイクル数にわたる前記繰り返しの後、前記基板の前記フィーチャ内に導体を堆積すること
をさらに含む、方法。
[適用例10]
適用例9に記載の方法であって、
前記導体は、銅である、方法。
[適用例11]
基板のフィーチャ内に自己形成バリアを形成するための方法であって、
前記基板の前記フィーチャ内に金属ライナを堆積することであって、前記金属ライナは、前記基板の誘電体上に堆積されることと、
前記金属ライナ上にインジウム含有前駆体を堆積することと、
前記基板のサーマルソークを実施することと、
前記インジウム含有前駆体の前記堆積および前記基板の前記サーマルソークを所定のサイクル数にわたって繰り返すことと
を含み、
前記方法は、前記金属ライナと前記誘電体の間の界面にインジウム含有バリア層を形成する、
方法。
[適用例12]
適用例11に記載の方法であって、
前記金属ライナは、ルテニウムからなる、方法。
[適用例13]
適用例11に記載の方法であって、
前記金属ライナは、コバルトからなる、方法。
[適用例14]
適用例11に記載の方法であって、
前記フィーチャは、ビアである、方法。
[適用例15]
適用例11に記載の方法であって、
前記フィーチャは、配線である、方法。
[適用例16]
適用例11に記載の方法であって、
前記インジウム含有前駆体は、トリメチルインジウムである、方法。
[適用例17]
適用例11に記載の方法であって、
前記インジウム含有前駆体を堆積することは、化学気相堆積プロセスによって実施される、方法。
[適用例18]
適用例11に記載の方法であって、
前記サーマルソークは、約180~400℃の温度で実施される、方法。
[適用例19]
適用例11に記載の方法であって、
前記所定のサイクル数にわたる前記繰り返しの後、前記基板の前記フィーチャ内に導体を堆積すること
をさらに含む、方法。
[適用例20]
適用例19に記載の方法であって、
前記導体は、銅である、方法。

Claims (20)

  1. 基板のフィーチャ内に自己形成バリアを形成するための方法であって、
    前記基板の前記フィーチャ内に金属ライナを堆積することであって、前記金属ライナは、前記基板の誘電体上に堆積されることと、
    前記金属ライナ上に亜鉛含有前駆体を堆積することと、
    前記基板のサーマルソークを実施することと、
    前記亜鉛含有前駆体の前記堆積および前記基板の前記サーマルソークを所定のサイクル数にわたって繰り返すことと
    を含み、
    前記方法は、前記金属ライナと前記誘電体の間の界面に亜鉛含有バリア層を形成する、
    方法。
  2. 請求項1に記載の方法であって、
    前記金属ライナは、ルテニウムからなる、方法。
  3. 請求項1に記載の方法であって、
    前記金属ライナは、コバルトからなる、方法。
  4. 請求項1に記載の方法であって、
    前記フィーチャは、ビアである、方法。
  5. 請求項1に記載の方法であって、
    前記フィーチャは、トレンチである、方法。
  6. 請求項1に記載の方法であって、
    前記亜鉛含有前駆体は、ジエチル亜鉛である、方法。
  7. 請求項1に記載の方法であって、
    前記亜鉛含有前駆体を堆積することは、化学気相堆積プロセスによって実施される、方法。
  8. 請求項1に記載の方法であって、
    前記サーマルソークは、約180~400℃の温度で実施される、方法。
  9. 請求項1に記載の方法であって、
    前記所定のサイクル数にわたる前記繰り返しの後、前記基板の前記フィーチャ内に導体を堆積すること
    をさらに含む、方法。
  10. 請求項9に記載の方法であって、
    前記導体は、銅である、方法。
  11. 基板のフィーチャ内に自己形成バリアを形成するための方法であって、
    前記基板の前記フィーチャ内に金属ライナを堆積することであって、前記金属ライナは、前記基板の誘電体上に堆積されることと、
    前記金属ライナ上にインジウム含有前駆体を堆積することと、
    前記基板のサーマルソークを実施することと、
    前記インジウム含有前駆体の前記堆積および前記基板の前記サーマルソークを所定のサイクル数にわたって繰り返すことと
    を含み、
    前記方法は、前記金属ライナと前記誘電体の間の界面にインジウム含有バリア層を形成する、
    方法。
  12. 請求項11に記載の方法であって、
    前記金属ライナは、ルテニウムからなる、方法。
  13. 請求項11に記載の方法であって、
    前記金属ライナは、コバルトからなる、方法。
  14. 請求項11に記載の方法であって、
    前記フィーチャは、ビアである、方法。
  15. 請求項11に記載の方法であって、
    前記フィーチャは、トレンチである、方法。
  16. 請求項11に記載の方法であって、
    前記インジウム含有前駆体は、トリメチルインジウムである、方法。
  17. 請求項11に記載の方法であって、
    前記インジウム含有前駆体を堆積することは、化学気相堆積プロセスによって実施される、方法。
  18. 請求項11に記載の方法であって、
    前記サーマルソークは、約180~400℃の温度で実施される、方法。
  19. 請求項11に記載の方法であって、
    前記所定のサイクル数にわたる前記繰り返しの後、前記基板の前記フィーチャ内に導体を堆積すること
    をさらに含む、方法。
  20. 請求項19に記載の方法であって、
    前記導体は、銅である、方法。
JP2020571818A 2018-06-30 2019-06-28 ライナの不動態化および接着性改善のための金属ライナのジンケート処理およびドーピング Active JP7368394B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862692666P 2018-06-30 2018-06-30
US62/692,666 2018-06-30
PCT/US2019/040014 WO2020006532A1 (en) 2018-06-30 2019-06-28 Zincating and doping of metal liner for liner passivation and adhesion improvement

Publications (2)

Publication Number Publication Date
JP2021529435A JP2021529435A (ja) 2021-10-28
JP7368394B2 true JP7368394B2 (ja) 2023-10-24

Family

ID=68985255

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020571818A Active JP7368394B2 (ja) 2018-06-30 2019-06-28 ライナの不動態化および接着性改善のための金属ライナのジンケート処理およびドーピング

Country Status (6)

Country Link
US (1) US11984354B2 (ja)
JP (1) JP7368394B2 (ja)
KR (1) KR20210016477A (ja)
CN (1) CN112352065A (ja)
TW (1) TWI825115B (ja)
WO (1) WO2020006532A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113161284A (zh) * 2020-01-07 2021-07-23 台湾积体电路制造股份有限公司 用于制造互连结构的方法
WO2022035894A1 (en) * 2020-08-13 2022-02-17 Lam Research Corporation Combined self-forming barrier and seed layer by atomic layer deposition
FR3115046B1 (fr) * 2020-10-09 2023-12-01 Aveni Procédé pour la fabrication d’une mémoire 3D-NAND
WO2022250410A1 (ko) * 2021-05-25 2022-12-01 서울대학교산학협력단 배선 형성 방법
WO2023120318A1 (ja) * 2021-12-24 2023-06-29 東京エレクトロン株式会社 無電解めっき液及び配線基板の製造方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006310808A (ja) 2005-04-25 2006-11-09 Sharp Corp 酸化亜鉛を選択的に原子層堆積させた構造物の製造方法
JP2008066428A (ja) 2006-09-06 2008-03-21 Sony Corp 半導体装置の製造方法および半導体装置
JP2011029255A (ja) 2009-07-22 2011-02-10 Fujitsu Semiconductor Ltd 半導体装置及びその製造方法
JP2012009788A (ja) 2010-06-28 2012-01-12 Tokyo Electron Ltd 成膜方法及び処理システム
US20130228923A1 (en) 2012-03-01 2013-09-05 Artur Kolics Methods and layers for metallization
JP2015029097A (ja) 2013-07-25 2015-02-12 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
JP2017143266A (ja) 2016-02-12 2017-08-17 東京エレクトロン株式会社 銅メタライゼーションにおける自己形成バリア層及びルテニウムメタルライナーのインテグレーション
JP2018090855A (ja) 2016-12-02 2018-06-14 株式会社高純度化学研究所 化学蒸着用原料及びその製造方法、並びに該化学蒸着用原料を用いて形成されるインジウムを含有する酸化物の膜の製造方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100386034B1 (ko) * 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
JP4634977B2 (ja) * 2006-08-15 2011-02-16 Okiセミコンダクタ株式会社 半導体装置及び半導体装置の製造方法
KR100835839B1 (ko) * 2006-11-27 2008-06-05 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
JP2008205298A (ja) * 2007-02-21 2008-09-04 Renesas Technology Corp 半導体装置及びその製造方法
US20100244252A1 (en) * 2009-03-31 2010-09-30 Jezewski Christopher J Self Forming Metal Fluoride Barriers for Fluorinated Low-K Dielectrics
US7951708B2 (en) 2009-06-03 2011-05-31 International Business Machines Corporation Copper interconnect structure with amorphous tantalum iridium diffusion barrier
US20120070981A1 (en) * 2010-09-17 2012-03-22 Clendenning Scott B Atomic layer deposition of a copper-containing seed layer
KR20130121042A (ko) * 2012-04-26 2013-11-05 어플라이드 머티어리얼스, 인코포레이티드 피쳐 필을 위한 반도체 리플로우 프로세싱
US8722531B1 (en) * 2012-11-01 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US9177858B1 (en) * 2014-05-08 2015-11-03 GlobalFoundries, Inc. Methods for fabricating integrated circuits including barrier layers for interconnect structures
US9613906B2 (en) * 2014-06-23 2017-04-04 GlobalFoundries, Inc. Integrated circuits including modified liners and methods for fabricating the same
US20150380296A1 (en) * 2014-06-25 2015-12-31 Lam Research Corporation Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications
US9385080B2 (en) * 2014-08-15 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
KR102279757B1 (ko) * 2015-03-06 2021-07-21 에스케이하이닉스 주식회사 확산 방지막의 형성 방법, 상기 확산 방지막을 포함하는 반도체 소자의 금속 배선 및 이의 제조 방법
US9716065B2 (en) * 2015-09-14 2017-07-25 International Business Machines Corporation Via bottom structure and methods of forming
US9842805B2 (en) * 2015-09-24 2017-12-12 International Business Machines Corporation Drive-in Mn before copper plating
US10501846B2 (en) * 2017-09-11 2019-12-10 Lam Research Corporation Electrochemical doping of thin metal layers employing underpotential deposition and thermal treatment

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006310808A (ja) 2005-04-25 2006-11-09 Sharp Corp 酸化亜鉛を選択的に原子層堆積させた構造物の製造方法
JP2008066428A (ja) 2006-09-06 2008-03-21 Sony Corp 半導体装置の製造方法および半導体装置
JP2011029255A (ja) 2009-07-22 2011-02-10 Fujitsu Semiconductor Ltd 半導体装置及びその製造方法
JP2012009788A (ja) 2010-06-28 2012-01-12 Tokyo Electron Ltd 成膜方法及び処理システム
US20130228923A1 (en) 2012-03-01 2013-09-05 Artur Kolics Methods and layers for metallization
JP2015029097A (ja) 2013-07-25 2015-02-12 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
JP2017143266A (ja) 2016-02-12 2017-08-17 東京エレクトロン株式会社 銅メタライゼーションにおける自己形成バリア層及びルテニウムメタルライナーのインテグレーション
JP2018090855A (ja) 2016-12-02 2018-06-14 株式会社高純度化学研究所 化学蒸着用原料及びその製造方法、並びに該化学蒸着用原料を用いて形成されるインジウムを含有する酸化物の膜の製造方法

Also Published As

Publication number Publication date
US11984354B2 (en) 2024-05-14
US20210166971A1 (en) 2021-06-03
CN112352065A (zh) 2021-02-09
WO2020006532A1 (en) 2020-01-02
KR20210016477A (ko) 2021-02-15
TWI825115B (zh) 2023-12-11
JP2021529435A (ja) 2021-10-28
TW202014536A (zh) 2020-04-16

Similar Documents

Publication Publication Date Title
JP7368394B2 (ja) ライナの不動態化および接着性改善のための金属ライナのジンケート処理およびドーピング
JP6998945B2 (ja) ルテニウムライナーと共に銅のエレクトロマイグレーションを改善するドープされた選択的な金属キャップ
US10622252B2 (en) Co or Ni and Cu integration for small and large features in integrated circuits
US6464779B1 (en) Copper atomic layer chemical vapor desposition
US7531463B2 (en) Fabrication of semiconductor interconnect structure
TWI591761B (zh) 在氣隙形成期間金屬內連線之選擇性加蓋
JP3979791B2 (ja) 半導体装置およびその製造方法
JP5773306B2 (ja) 半導体素子構造を形成する方法および装置
KR20190101497A (ko) 루테늄 도핑에 의한 증진된 코발트 내응집성 및 갭 충전 성능
US7338908B1 (en) Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage
US20030034251A1 (en) Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
JP2004525510A (ja) 拡散バリアを有する銅の配線構造
JP6030439B2 (ja) マンガン含有膜の形成方法、処理システム、および電子デバイスの製造方法
EP0871218A2 (en) Intergrated CVD/PVD Al planarization using ultra-thin nucleation layers
KR102394249B1 (ko) 코발트에 대한 망간 배리어 층 및 접착 층
US20220208604A1 (en) Protection of seed layers during electrodeposition of metals in semiconductor device manufacturing
US7981793B2 (en) Method of forming a metal directly on a conductive barrier layer by electrochemical deposition using an oxygen-depleted ambient
TWI609095B (zh) 用於氮化錳整合之方法
JP2002329682A (ja) Cu薄膜作製方法
JP3715975B2 (ja) 多層配線構造の製造方法
KR100357183B1 (ko) 반도체 소자의 구리 박막 형성 방법
JP2023502512A (ja) 金属相互接続構造におけるドーピングプロセス
WO2022006225A1 (en) Selective tungsten deposition at low temperatures
TWI541836B (zh) 用於沉積具有低電阻率及堅固微黏附特性之鎢薄膜之方法
JP2008166847A (ja) Cu配線膜形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220608

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230425

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230420

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230724

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230919

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231012

R150 Certificate of patent or registration of utility model

Ref document number: 7368394

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150