KR20160000863A - 상호접속 캡핑 애플리케이션들을 위한 금속 상호접속부들 내의 탄소계 오염물질의 세정 - Google Patents

상호접속 캡핑 애플리케이션들을 위한 금속 상호접속부들 내의 탄소계 오염물질의 세정 Download PDF

Info

Publication number
KR20160000863A
KR20160000863A KR1020150088974A KR20150088974A KR20160000863A KR 20160000863 A KR20160000863 A KR 20160000863A KR 1020150088974 A KR1020150088974 A KR 1020150088974A KR 20150088974 A KR20150088974 A KR 20150088974A KR 20160000863 A KR20160000863 A KR 20160000863A
Authority
KR
South Korea
Prior art keywords
substrate
layer
dielectric
copper
metal
Prior art date
Application number
KR1020150088974A
Other languages
English (en)
Inventor
조지 앤드류 안토넬리
토마스 조셉 나이즐리
프라모드 수브라모니움
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160000863A publication Critical patent/KR20160000863A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

구리 선들과 유전체 확산 배리어층들 사이의 계면에 상주하는 보호 캡들은 상호접속부들의 다양한 성능 특성들을 개선하기 위해 사용된다. 코발트 함유 캡들 또는 망간 함유 캡들과 같은, 캡들은 cvd 또는 ald 방법들을 사용하여 노출된 유전체가 존재할 때 노출된 구리 선들 상에 선택적으로 증착된다. 캡핑 재료의 증착은, 캡핑층의 부족하거나 고르지 않은 성장을 유발할 수도 있는, 구리 표면 상의 탄소 함유 오염물질들의 존재에 영향을 받는다. 캡들을 증착하기 전에 구리 표면으로부터 탄소 함유 오염물질들을 제거하는 방법은, 구리 표면 상에 반응된 실릴레이트제 (silylating agent) 층을 형성하기 위해 제 1 온도에서 실릴레이트제와 노출된 구리 표면을 포함하는 기판을 접촉시키는 단계, 이어서 구리 표면으로부터 반응된 실릴레이트제를 방출하기 위해 보다 높은 온도로 기판을 가열하는 단계를 수반한다.

Description

상호접속 캡핑 애플리케이션들을 위한 금속 상호접속부들 내의 탄소계 오염물질의 세정{CLEANING OF CARBON-BASED CONTAMINANTS IN METAL INTERCONNECTS FOR INTERCONNECT CAPPING APPLICATIONS}
본 발명은 부분적으로 제조된 집적 회로 상에 재료의 층들을 형성하는 방법들에 관련된다. 구체적으로, 본 발명은 상호접속 캡핑 애플리케이션들을 위한 금속 상호접속부들 내의 탄소계 오염물질의 세정에 관련된다.
다마신 프로세싱은 집적 회로들 상에 금속 선들을 형성하기 위한 방법이다. 이는 유전체층 (층간 유전체) 내에 형성된 트렌치들 및 비아들 내에 인레이된 (inlaid) 금속 선들의 형성을 수반한다. 다마신 프로세싱은, 다른 방법들보다 적은 단계들을 필요로 하고 보다 높은 수율을 제공하기 때문에 자주 선호되는 방법이다. 이는 또한 플라즈마 에칭에 의해 용이하게 패터닝될 수 없는 구리와 같은 금속들에 특히 잘 맞는다 (well-suited).
통상적인 다마신 프로세스에서, 금속은 유전체층 내에 형성된 비아들 및 트렌치들을 충진하기 위해 패터닝된 유전체 내로 디포지션된다. 발생되는 금속화층은 액티브 디바이스들을 반송하는 층 상에 직접적으로 또는 보다 아래의 금속화층 상에 형성된다. 실리콘 카바이드 또는 실리콘 나이트라이드와 같은, 유전체 확산 배리어 재료의 박층은 유전체의 벌크층들 내로의 금속의 확산을 방지하기 위해 인접한 금속화층들 사이에 디포지션된다. 일부 경우들에서, 실리콘 카바이드 또는 실리콘 나이트라이드 유전체 확산 배리어층은 또한 층간 유전체의 패터닝 동안 에칭 정지층으로서 기능한다.
통상적인 집적 회로 (IC) 에서, 몇몇 금속화층들이 서로의 상단에 디포지션되어, 금속 충진된 비아들 및 트렌치들이 IC 도전 경로들로서 기능하는 스택을 형성한다. 일 금속화층의 도전 경로들은 일련의 다마신 상호접속들에 의해 아래에 놓이거나 위에 놓인 층의 도전 경로들에 접속된다.
이들 상호접속부들의 제조는, IC 디바이스 피처부들의 크기가 계속해서 축소됨에 따라, 훨씬 더 중요해지는, 몇몇 과제들을 제공한다. 예를 들어, 위에 놓인 유전체 확산 배리어층으로의 구리 금속의 접착은 형성된 IC 디바이스들의 감소된 신뢰성을 유도하여 종종 불량하다. 또한, 구리 선 크기들의 지나친 감소는 일렉트로마이그레이션의 증가로 이끈다. 일부 경우들에서, 캡핑층들은 이들 문제들을 해결하고 상호접속부들의 신뢰성을 향상시키기 위해 구리의 상단 상에 디포지션된다.
IC 제조 동안 마주치는 도전적인 문제는 탄소 함유 잔여물로의 금속선 표면들의 오염이다. 이러한 오염의 존재는 금속선들 상의 캡들의 디포지션을 방해할 수 있다. 예를 들어, 코발트 함유 캡들 또는 망간함유 캡들과 같은, 금속 함유 캡들이 CVD (chemical vapor deposition) 또는 ALD (atomic layer deposition) 에 의해 탄소로 오염된 표면 상에 디포지션될 때, 낮은 디포지션 레이트, 고르지 못하고 (patchy) 울퉁불퉁한 디포지션이 발생할 수도 있다. 또한, 금속 함유 도전성 캡핑층들이 디포지션될 때, 이러한 캡핑층들은 둘레의 ILD 표면들 상에 디포지션되지 않고 금속선 표면 상에 선택적으로 디포지션되어야 한다. 많은 예들에서, 금속선의 표면 상의 탄소계 오염물질들의 존재는 이러한 디포지션의 선택도를 감소시킨다.
구리 옥사이드와 같은, 옥사이드 종으로의 오염이 환원제들을 사용하여 기판을 처리함으로써 (예를 들어, 환원 대기에서 플라즈마 또는 열 처리에 의해) 용이하게 제거될 수 있지만, 탄소 함유 종으로의 오염은 일반적으로 용이하게 처리되지 않는다. 예상치 않게, 실릴레이트제를 사용하여 금속 표면들로부터 탄소계 오염물질드을 제거하기 위한 처리가 발견되었다. 이 처리는 구리, 코발트, 및 니켈 (이들의 합금들을 포함하여) 와 같은 금속들을 (탄소-탄소 결합 및/또는 탄소-산소 결합을 포함하는 오염물질과 같은) 탄소계 오염물질들로부터 세정하기 위해 사용될 수 있다.
일 양태에서, 반도체 디바이스 구조물을 형성하기 위한 방법이 제공된다. 방법은, (a) 금속 (예를 들어 Cu, Co, Ni) 의 노출된 층 및 유전체의 노출된 층을 포함하는 반도체 기판을 제공하는 단계; (b) 노출된 금속층의 표면 상의 탄소 함유 오염물질들과 실릴레이트제 (silylating agent) 가 반응하도록 제 1 온도에서 실릴레이트제와 제공된 반도체 기판을 접촉시키는 단계; 및 (c) 접촉 후에, 반도체 기판의 금속 표면으로부터 반응된 실릴레이트제를 제거하기 위해 보다 높은 온도로 반도체 기판을 가열하는 단계를 수반한다. 다음에, 금속 표면으로부터 반응된 실릴레이트제를 제거한 후, 프로세스는 유전체층 상에 동일한 캡핑층 (capping layer) 을 디포지션하지 않고, 금속 표면 상에 캡핑층을 선택적으로 디포지션함으로써 계속된다. 캡핑층들이 금속선들 위에 선택적으로 형성된 후, 유전체 확산 배리어층 (예를 들어, 도핑되거나 도핑되지 않은 실리콘 카바이드 또는 실리콘 나이트라이드) 이 캡핑된 금속층과 노출된 유전체층 모두 위에 디포지션된다.
제공된 방법은 코발트 캡핑층 및 망간 캡핑층과 같은, 금속 함유 캡핑층들의 디포지션에 특히 잘 맞는다. 일부 실시예들에서, 캡핑층은 처리된 기판을 유기금속 화합물과 접촉시킴으로써 형성된다. 예를 들어, 기판은 코발트와 아릴, 아미디네이트 (amidinate), 디아자디에닐 (diazadienyl), 및 시클로펜타디에닐로 구성된 그룹으로부터 선택된 리간드를 포함하는 유기코발트 화합물과 접촉될 수도 있다. 코발트 함유 캡핑층들의 선택적 디포지션에 적합한 유기코발트 화합물들의 예들은 이로 제한되는 것은 아니지만, 코발트 카르보닐 tert-부틸 아세틸렌, 코발타센 (cobaltacene), 시클로펜타디에닐 디카르보닐 코발트 (II), 코발트 아미디네이트, 코발트 디아자디에닐, 및 이들의 조합들을 포함한다.
일부 실시예들에서, 제공된 방법은 기판의 표면을 컨디셔닝하기 위해 실릴레이트제와 기판을 접촉시키기 전에 기판을 전처리하는 단계를 더 포함한다. 전처리는 유전체 표면이 캡핑 재료의 디포지션에 대해 보다 불활성이 되게 하고 및/또는 금속의 표면으로부터 금속 옥사이드 (예를 들어, 구리 옥사이드) 를 제거하기 위해 수행될 수 있다. 전처리는 Ar, He, N2, NH3 및 H2 중 적어도 하나를 포함하는 가스 내에서의 직접 플라즈마 처리, 리모트 플라즈마 처리, UV 처리 및 열 처리 중 하나 이상에 의해 수행될 수 있다. 기판의 재오염을 방지하기 위해, 기판은 전세정 후 그리고 실릴레이트제와의 접촉 전에 주변 대기에 노출되지 않는다.
실릴레이트제를 사용한 처리는 바람직하게 약 100 내지 약 300 ℃의 온도에서 그리고 약 0.5 내지 20 Torr의 압력에서 수행되는 것이 바람직하다. 아르곤 및또는 헬륨과 같은 불활성 가스가 실릴레이트제의 플로우와 함께 제공될 수 있다. 일부 실시예들에서, 불활성 가스의 플로우 레이트는 실릴레이트제의 플로우 레이트보다 적어도 약 10배 크다. 적합한 실릴레이트제의 예들은 트리메톡시실란, 디에톡시메틸실란, 디메틸아미노트리메틸실란, 에톡시트리메틸실란, 비스-디메틸아미노디메틸실란, 비닐트리메틸실란, 비닐트리메톡시실란, 트리메틸실릴아세틸렌, (3-메르캅토프로필)트리메톡시실란, 페닐트리메톡시실란 및 이의 조합들을 포함한다.
실릴레이트제를 사용한 처리가 완료되고 실릴레이트제의 플로우가 정지된 후, 금속의 표면으로부터 반응된 실릴레이트제를 떨어뜨리기 위해 (drive off) 기판이 가열된다. 일부 실시예들에서, 가열은 Ar, He, N2, NH3, H2 및 이의 혼합물들로 구성된 그룹으로부터 선택된 가스에서 약 120 내지 약 450 ℃의 온도로 수행된다.
일부 실시예들에서, 유전체층은 또한 실릴레이트제를 사용한 처리 동안 실릴레이트제와 반응할 수도 있다. 일부 실시예들에서, 유전체는, 실릴레이트제와 반응할 때 캡핑 재료의 디포지션에 대하여 패시베이션되고, 따라서 캡핑 디포지션 프로세스의 선택도를 상승시킨다.
일부 실시예들에서, 제공된 방법들은 포토리소그래픽 패터닝을 포함하는 프로세싱 스킴에 통합되고, 기판에 포토레지스트를 도포하는 단계; 포토레지스트를 노광하는 단계; 포토레지스트를 패터닝하고 패턴을 기판에 전사시키는 단계; 및 기판으로부터 포토레지스트를 선택적으로 제거하는 단계를 더 포함한다.
또 다른 양태에서, 웨이퍼 기판 상에 반도체 디바이스 구조물을 형성하기 위한 장치가 제공된다. 장치는, 가스성 반응물 또는 휘발성 반응물을 도입하기 위한 유입부를 갖는 프로세스 챔버; 프로세싱 챔버 내에서의 웨이퍼 기판의 프로세싱 동안 웨이퍼 기판을 제 위치에 홀딩하기 위한 웨이퍼 기판 지지부; 및 본 명세서에 제공된 방법들을 수행하기 위한 프로그램 인스트럭션들을 포함하는 제어기를 포함한다. 예를 들어, 제어기는 (i) 노출된 금속층의 표면 상의 탄소 함유 오염물질들과 실릴레이트제가 반응하도록, 구리, 코발트, 및 니켈로 구성된 그룹으로부터 선택된 금속의 노출된 층 및 유전체의 노출된 층을 갖는 웨이퍼 기판을 제 1 온도에서 실릴레이트제와 접촉시키고; 그리고 (ii) 접촉 후에, 웨이퍼 기판의 금속 표면으로부터 반응된 실릴레이트제를 제거하기 위해 보다 높은 온도로 웨이퍼 기판을 가열하고; 그리고 (iii) 금속 표면으로부터 반응된 실릴레이트제를 제거한 후, 유전체층 상에 동일한 캡핑층을 디포지션하지 않고, 금속 표면 상에 캡핑층을 선택적으로 디포지션하기 위한 프로그램 인스트럭션들을 포함할 수도 있다.
일부 실시예들에서, 시스템이 제공되고, 시스템은 본 명세서에 기술된 장치 및 스텝퍼를 포함한다.
또 다른 양태에서, 비일시적인 컴퓨터 머신 판독가능 매체가 제공되고, 이 매체는 본 명세서에 기술된 방법들의 임의의 동작들을 수행하기 위한 코드를 포함하는 디포지션 장치를 위한 프로그램 인스트럭션들을 포함한다.
도 1a 내지 도 1d는 본 명세서에 제공된 일부 실시예들에 따른 선택적인 캡핑층 프로세스 동안 생성된 디바이스 구조물들의 개략적인 단면도들이다.
도 2는 본 명세서에 제공된 일부 실시예들에 따른 캡핑 프로세스의 프로세스 흐름도를 나타낸다.
도 3은 본 명세서에 제공된 실시예들에 따른 탄소계 오염물질들을 제거하기 위해 적합한 프로세스 챔버의 개략도를 나타낸다.
도 4a는 CMP (chemical mechanical polishing) 에 의해 평탄화된 전착된 구리층의 구리 표면 상의 탄소의 존재를 예시하는 XPS (X-ray photoelectron spectroscopic) 그래프이다.
도 4b는 PVD (physical vapor deposition) 에 의해 디포지션된 구리층의 구리 표면 상의 탄소의 존재를 예시하는 XPS 그래프이다.
도 5는 실릴레이트제를 사용한 처리들 후에 구리 표면 상의 탄소 및 실리콘 함량을 예시하는 플롯이다.
도 6은 상이한 조건들 하에서 처리된 샘플들에 대한 기판 표면의 조성을 예시하는 표이다.
도 7a는 상이한 조건들 하에서의 처리들 후에 유전체 및 구리 상의 코발트 디포지션을 예시하는 막대 그래프이다.
도 7b는 상이한 조건들 하에서의 처리들 후에 유전체 및 구리 상의 코발트 디포지션을 예시하는 막대 그래프이다.
반도체 기판들 상의 금속 표면들로부터 탄소 함유 오염물질들을 제거하기 위한 방법들 및 장치들이 제공된다. 오염물질들은 실릴레이트제를 사용하여 금속 표면을 처리함으로써 제거된다. 제공된 방법들은 구리, 코발트 및 니켈 표면들을 세정하고 캡핑층들의 CVD 및 ALD 디포지션을 위해 이들 표면들을 준비하기 위해 사용될 수 있다.
용어들 “반도체 기판” 및 “부분적으로 제조된 집적 회로” 는 상호교환 가능하게 사용되고 기판 내 어느 곳에 반도체 재료를 함유하는 기판들을 포함한다. 반도체 기판은 통상적으로 반도체 재료에 부가하여 금속 및 유전체 재료들의 층들을 더 포함한다. 적합한 반도체 기판의 일 예는 다마신 프로세스에 의해 형성된 하나 이상의 금속화층들을 포함하는 실리콘 웨이퍼이다. 본 명세서에 제공된 방법들은 백엔드 프로세싱 및 프론트엔드 프로세싱 양자에서 사용될 수 있다.
용어들 "구리", "코발트" 및 "니켈"은 순수 금속들 및 이들 금속들의 합금들 양자를 포함하고, 구리, 코발트, 니켈, 또는 이들 금속들의 조합의 농도는 적어도 약 70 atomic %이다. 본 명세서에 사용된 구리의 예들은 95 내지 99 % 순수 구리금속, 및 CuAl 합금과 같은 구리 합금들, 및 적어도 70 atomic % 구리를 함유하는 CuMn 합금을 포함한다. 명확성을 위해, 방법들은 예로서 구리를 사용하여 후속하여 예시될 것이다. 코발트 및 니켈 (이들의 합금들을 포함) 의 세정은 유사하게 수행될 수 있다는 것이 이해된다.
용어 "캡핑층들"은 세정된 금속층의 상부 부분 상에 및/또는 상부 부분 내에 디포지션된 층들을 포함한다. 캡핑층들의 예들은 다마신 프로세싱에서 구리 선들 상에 디포지션된 코발트층 또는 망간층을 포함한다.
캡핑층이 유전체 표면 상에 디포지션되지 않고 금속 표면 상에 디포지션되는, 용어 "선택적인 디포지션"은 금속 상의 캡핑층의 두께가 유전체 상의 캡핑 재료의 두께보다 적어도 10배인 디포지션을 말한다. 본 명세서에서 사용된 바와 같은 용어들 "제거" 및 "세정"은 부분적인 제거 및 완전한 제거 양자를 포함한다.
금속 표면들로부터 탄소 함유 오염물질들의 제거는 다양한 노출된 유전체들의 존재 하에 수행될 수 있다. 일부 실시예들에서, 기판은 금속의 노출된 층 및 유전체의 노출된 층을 포함하고, 유전체는 low-k 유전체 (3.2>k>2.7), 또는 ULK (ultralow k) 유전체 (2.7>k>2.2), 또는 ELK (extreme low k) 유전체 (k<2.2) 이고, 여기서 k는 유전 상수이다. 프론트엔드 프로세싱에서 사용된 일부 구현예들에서, 유전체는 조밀한 실리콘 옥사이드이다. 적합한 유전체들의 예들은, 탄소 도핑된 실리콘 옥사이드 재료들과 같은 실리콘 옥사이드계 유전체들, 유기 유전체들, 다공성 유전체들, 등을 포함한다. 방법들은 일부 실시예들에서 플라즈마를 사용하지 않고, 예를 들어 심지어 대부분 기계적으로 연약한 ULK 및 ELK 유전체들에 대미지를 주지 않고 마일드 (mild) 조건들 하에서 수행되기 때문에, ULK 및 ELK 유전체들의 존재 시에 금속층들을 처리하는데 이 방법이 특히 유익하다. 적합한 유전체들의 예들은 Aurora®와 같이 CH3 말단과 Si-O-Si 체인을 갖는 폴리머 CVD 디포지션된 막들 및 블랙 다이아몬드와 같이 다른 CVD 디포지션된 유전체들을 포함한다. 스핀 온 방법들에 의해 디포지션된 유전체들이 또한 사용될 수 있다.
일부 실시예들에서, 실릴레이트제를 사용한 금속층의 처리는 동시에 유전체를 개질하고 캡핑 재료의 디포지션에 불활성이 되게 하여, 캡들의 디포지션의 선택도를 향상시킨다. 예를 들어, 일부 실시예들에서, 실릴레이트제는 유전체층 상의 -OH기들을 실릴레이트화하여, 유전체가 캡핑 전구체들에 대해 불활성이 되게 한다. Si-O-H기들과 같은 -OH기들을 함유하는 유전체들은 우연한 Si-O-금속기들의 형성으로 유도하고, 그리고 보다 적은 캡핑 프로세스들로 유도하는, 캡핑 화학물질들에 사용된 유기금속 화합물들과 반응할 수도 있다. 일부 실시예들에서, 실릴레이트제는 유전체의 표면 상의 자유 Si-O-H기들의 농도를 저감시켜, 캡 디포지션의 선택도를 향상시킨다.
도 1a 내지 도 1d는 본 명세서에 제공된 실시예들에 따른 프로세스의 코스에서 획득된 부분적으로 제조된 반도체 디바이스 구조물들을 예시한다. 명확성을 보존하기 위해 상단 금속화층만이 도시된다. 프로세스는, 임베딩된 구리 선 (105) 을 갖는 유전체층 (101) (예를 들어, ULK 유전체) 을 포함하는, 도 1a에 예시된 구조물 (다마신 구조물) 로 시작하고, 구리 선 (105) 은 확산 배리어 박층 (103) (예를 들어, Ta, TaN, 또는 Ta/TaN 바이레이어 (bilayer)) 에 의해 유전체로부터 분리된다. 구조물의 표면은, 탄소-탄소 결합 및 탄소-산소 결합을 포함하는 오염물질들을 포함할 수도 있는, 탄소 함유 오염물질들 (107) 로 오염된 구리층을 포함한다. 도 1a에 제공된 기판은, 과도한 구리 및 확산 배리어층 재료가 CMP (chemical mechanical polishing) 프로세스에 의해 기판의 필드 영역으로부터 제거된 후 획득된다. 그러나, 탄소 함유 종으로의 오염은 CMP 후에 분석된 구리 샘플들에서만 발견되지 않지만, 기판이 CMP 처리되지 않을 때에도 존재할 수 있다. 예를 들어, 탄소 오염물질들은 PVD (physical vapor deposition) 에 의해 디포지션된 구리층들 상에서 발견되고, 구리는 CMP에 의해 평탄화되지 않았다.
다음에, 예를 들어, 구리의 표면 상의 구리 옥사이드를 제거하기 위해 또는 유전체 (101) 의 표면을 컨디셔닝하기 위해, 기판은 선택적으로 전처리되고, 이어서 실릴레이트제가 탄소 함유 오염물질들과 반응하도록 실릴레이트제를 사용하여 처리된다. 이어서 기판은 구리 표면으로부터 반응된 실릴레이트제를 제거하기 위해 가열되고, 도 1b에 도시된 바와 같이, 깨끗한 (clean) 구리 표면을 갖는 구조물을 제공한다.
다음에, 코발트 캡핑층 (109) 과 같은 캡핑층이 유전체 (101) 상에 디포지션되지 않고 구리층 (105) 상에 선택적으로 디포지션된다. 디포지션은 기판을 유기코발트 전구체 및 환원제와 접촉시킴으로써 수행될 수 있다. 일부 실시예들에서, 약 10 내지 300 Å의 캡핑 재료와 같이, 약 1 내지 300 Å의 캡핑 재료가 구리선 상에 디포지션된다. 다른 실시예들에서, 디포지션된 코발트는 구리선의 상단 부분 내에 디포지션되고, 구리층 위에 어떠한 부가적인 두께도 제공하지 않는다. 일부 실시예들에서, 코발트는 구리층 상 및 구리층 내 양자에 디포지션된다.
다음에, 도핑되거나 도핑되지 않은 실리콘 나이트라이드 및/또는 도핑되거나 도핑되지 않은 실리콘 카바이드 (예를 들어, SiCN) 와 같은 유전체 확산 배리어층 또는 에칭 정지층이 기판의 전체 표면 위에 디포지션된다. 도 1d에 제공된 발생되는 구조물은 유전체층 (101) 의 상단 및 코발트층 (109) 의 상단 상에 상주하는 SiCN 확산 배리어층 (111) 을 예시한다.
탄소 함유 오염물질들을 제거하기 위한 방법들은, CVD 및 ALD에 의해서와 같이, 오염물질들의 존재에 민감한 방법들에 의해 재료들을 디포지션하기 전의 금속 표면 준비 단계에서와 같이 다양한 프로세싱 스킴들에서 사용될 수 있다. 예를 들어, 일부 실시예들에서, 세정 방법들은 다음의 프로세싱 스킴에서 사용될 수 있다. 먼저, 제 1 금속화층 및 그 위의 ILD 층을 포함하는 제 1 반도체 기판이 제공된다. 다음에, 리세스된 피처부들을 규정하고 제 1 금속화층의 구리선들의 상단 부분을 노출시키기 위해 ILD가 에칭된다. 다음에, 노출된 구리선들이 선택적으로 전처리되고 구피 표면 상의 탄소 함유 오염물질들과 실릴레이트제를 반응시키기 위해 실릴레이트제와 접촉된다. 이어서 기판은 구리 표면으로부터 반응된 실릴레이트제를 제거하기 위해 가열되고, 이어서 캡 (예를 들어, 코발트 캡) 이 세정된 구리층 상에 선택적으로 디포지션된다. 다음에, 하단부에 캡핑된 구리를 갖는 리세스된 피처부가 금속으로, 예를 들어, 전착된 구리로 충진될 수 있다.
도 2는 실릴레이트제 처리로 세정된 구리층 상에 캡핑층을 선택적으로 디포지션하는 방법에 대한 프로세스 흐름도의 예를 제공한다. 동작 201에서, 노출된 구리층 및 노출된 유전체층을 갖는 부분적으로 제조된 반도체 디바이스가 제공된다. 디바이스는 도 1a에 도시된 구조물과 유사할 수도 있다. 또 다른 실시예에서, 디바이스는 ILD층 내에 만들어진 비아의 하단부에 노출된 구리를 포함하는 구조물일 수도 있다. 다음에, 동작 203에서 기판이 선택적으로 전처리된다. 전처리는 (플라즈마를 사용하지 않고) 열적으로 수행될 수 있고, 일부 실시예들에서, UV 조사를 포함할 수도 있다. 일부 실시예들에서, 전처리는 직접 플라즈마 또는 리모트 플라즈마를 사용하여 수행된다. 전처리 시, 기판은 H2 또는 NH3와 같은 환원 가스와 접촉된다. 일부 실시예들에서, 전처리 동안 기판은 N2, He 또는 Ar과 같은 불활성 가스와 접촉된다. 전처리는 통상적으로 약 100 내지 400 ℃의 온도에서, 그리고 약 0.5 내지 10 Torr의 압력에서 수행된다. 전처리 동안 플라즈마가 사용될 때, 약 100 내지 6000 W의 전력을 사용하여 인가될 수 있다. 이들 실시예들에서, UV 조사가 사용될 때, 약 180 내지 250 ㎚의 파장으로 방출된 상당한 전력을 갖는 자외광원이 바람직하다. 일부 실시예들에서, 특히 환원 가스들을 사용하여, 전처리는 구리 표면으로부터 구리 옥사이드를 세정하도록 사용된다. 다른 실시예들에서, 전처리는 유전체의 표면을 컨디셔닝하고 유전체로 하여금 캡핑층의 디포지션에 대해 보다 불활성이 되도록 수행된다. 예를 들어, 유전체 상에서 코발트의 성장을 방해하기 위해 NH3 존재 시 UV 조사가 도시되었다.
전처리가 수행된 후, 금속 표면의 재오염을 방지하기 위해 기판을 주변 공기에 노출시키지 않는 것이 중요하다. 따라서, 공기 제동 (airbreak) 없이, 실릴레이트제와 구리 표면 상의 탄소 함유 오염물질들을 반응시키기 위해, 기판은 동작 203에서 실릴레이트제와 접촉한다. 처리는 플라즈마 없이, 그리고 바람직하게 (필수적이지는 않게) UV 조사 없이 수행된다. 처리는 약 100 내지 300 ℃의 온도 및 약 0.5 내지 20 Torr의 압력에서 수행되는 것이 바람직하다. 실릴레이트제는 통상적으로 N2, Ar, He와 같은 불활성 가스 또는 임의의 이들 가스들의 혼합물과 함께 가스성 형태로 공급된다. 일부 실시예들에서, 불활성 가스의 플로우 레이트는 실릴레이트제의 플로우 레이트의 적어도 10배이다. 일부 실시예들에서, 기판은 5 내지 120초 동안 실릴레이트제에 노출된다. 실릴레이트제는 유기실리콘 화합물이다. 특정한 동작 메커니즘에 의해 제한되지 않고, 적합한 유기실리콘 화합물은, 반응시 치환되는, (알콕시기, 디알킬아미노기, 등과 같은) 하나 이상의 이탈기들 (leaving groups) 을 포함하는 것으로 믿어진다. 바람직하게, 실릴레이트제는 할로겐 치환기들이 이탈시 금속의 부식을 유발할 수도 있기 때문에 할로겐 치환기들은 포함하지 않는다. 실릴레이트제는 할로겐, 알킬, 알콕시, 비닐, 아미노, 메르캅토, 페닐, 및 아세틸렌과 같은 치환기들을 포함할 수도 있다. 적합한 실릴레이트제들은 트리메톡시실란, 디에톡시메틸실란, 디메틸아미노트리메틸실란, 에톡시트리메틸실란, 비스-디메틸아미노디메틸실란, 비닐트리메틸실란, 비닐트리메톡시실란, 트리메틸실릴아세틸렌, (3-메르캅토프로필)트리메톡시실란, 페닐트리메톡시실란을 포함한다. 일부 실시예들에서, 바람직한 유기실리콘 화합물들은 화학식 R1R2 3Si이고, R1은 2차 아미노 (예를 들어, 디메틸아미노), 비닐, 아세틸 및 알콕시 (예를 들어, 에톡시) 로 구성된 그룹으로부터 선택되고, R2는 메틸과 같은, 알킬이다. 처리 후에, 기판은 반응된 실릴레이트제를 구리 표면으로부터 제거하기 위해 동작 207에서 가열된다. 실릴레이트제를 사용하여 처리한 후 기판을 불활성 가스 분위기에 유지할 필요가 없다. 따라서, 동작 205와 동작 207 사이에 공기 제동이 있을 수도 있다. 가열은 약 120 내지 450 ℃의 온도에서 수행될 수 있다. 일부 실시예들에서, 가열은 기판이 실릴레이트제를 사용하여 처리된 온도보다 적어도 50 ℃, 바람직하게 적어도 100 ℃ 더 높은 온도에서 수행된다. 예를 들어, 기판은 약 250 ℃에서 실릴레이트제를 사용하여 처리될 수도 있고, 가열은 약 400 ℃에서 수행될 수 있다. 가열은 불활성 가스 분위기에서 또는 환원 가스의 존재 하에 수행될 수 있다. 예를 들어, 가열은 약 0.5 내지 20 Torr의 압력에서 N2, Ar, He, NH3, 및 H2 중 하나 이상의 존재시에 수행될 수 있다. 예시적인 프로세스에서, 가열은 약 15 Torr의 압력에서 아르곤의 존재시 400℃의 온도에서 약 5분 동안 수행된다.
다음에, 구리 표면으로부터 실릴레이트제가 제거된 후, 캡핑층은 동작 209에서 구리 표면 상에 선택적으로 디포지션된다. 20보다 큰 선택도들, 예를 들어 40보다 큰 선택도들이 달성될 수 있다 (선택도는 유전체 상에 디포지션된 캡핑 재료 두께에 대해 구리 상에 디포지션된 캡핑 재료 두께의 비를 말한다). 다양한 캡들이 CVD 및 ALD 방법들을 사용하여 구리층들 상에 디포지션될 수 있다. 일부 실시예들에서, 코발트 캡핑 재료가 전구체로서 유기코발트 화합물을 사용하여 CVD에 의해 디포지션된다. 적합한 유기코발트 화합물들은 코발트 카르보닐 tert-부틸 아세틸렌, 코발타센 (cobaltacene), 시클로펜타디에닐 디카르보닐 코발트 (II), 코발트 아미디네이트, 코발트 디아자디에닐, 및 이들의 리간드 변형 및 조합들을 포함한다.
본 명세서에서 세정 절차가 제공되기 때문에, 세정되지 않은 표면 상에 선택적 디포지션을 할 수 없는 일부 유기코발트 전구체들은 적합하고 선택적으로 디포지션된 코발트가 된다는 것을 주의한다. 이들 전구체들은, 이로 제한되는 것은 아니지만, 아릴, 아미디네이트, 시클로펜타디에닐, 디아자디에닐, 및 알콕사이드와 같은 리간드들을 포함하는, 유기금속 코발트 전구체들을 포함한다. 유기금속 코발트 화합물은 통상적으로 아르곤과 같은 불활성 가스와의 혼합물인 기체 형태로 제공된다. 기판은 유기금속 화합물 및 환원제와 접촉된다. 상대적으로 낮은 온도들이 감소된 디포지션 선택도로 유도할 수도 있는, 유기금속 화합물과 환원제 간의 가스상 반응을 억제하기 위해 사용되는 것이 바람직할 수 있다는 것이 발견되었다. 예를 들어, 70 내지 100 ℃와 같은, 약 60 내지 200 ℃의 프로세스 온도들은 구리의 표면에서 코발트의 디포지션을 효과적으로 촉진시키기 위해 사용될 수 있지만, 억제될 가스상 반응에 대해서는 충분히 낮다. 또한, 상대적으로 낮은 압력들이, 또한 구리 상으로의 표면 구동된 디포지션을 허용하면서, 코발트 화합물과 환원제 간의 가스상 반응을 억제하기 위해 유리하다는 것이 발견되었다. 일부 실시예들에서, 코발트 디포지션은 약 0.2 내지 200 Torr의 압력에서 수행된다. 예를 들어, 일부 실시예들에서, 디포지션은 약 1 Torr 의 압력에서 수행된다. 적합한 환원제들은 하이드라진, 하이드라진 하이드레이트, 알킬 하이드라진들, 1,1-디알킬하이드라진들, 1,2-디알킬하이드라진들, 암모니아, 실란들, 디실란들, 트리실란들, 게르만들, 디보란, 포름알데히드, 아민 보란들, 디알킬 아연, 알킬 알루미늄 화합물들, 알킬 갈륨 화합물들, 알킬 인듐 화합물들 및 이들의 조합들을 포함한다. 바람직한 실시예에서, 플라즈마 없이 코발트 디포지션이 수행되지만, 대안적인 실시예들에서 수소 플라즈마 및/또는 암모니아 플라즈마가 사용될 수도 있다. 다른 실시예들에서, 망간 캡핑 재료는 기판을 유기망간 전구체와 접촉시킴으로써 CVD 또는 ALD에 의해 수행된다. 적합한 전구체들은 이로 제한되는 것은 아니지만, 아릴들, 아미디네이트들, 시클로펜타디에닐들, 디아자디에닐들, 및 알콕사이드들과 같은 리간드들을 포함하는, 유기금속 망간 전구체들을 포함한다.
캡핑층이 디포지션된 후, 캡핑층 및 유전체 양자와 접촉하기 위해 확산 배리어층이 기판 위에 선택적으로 디포지션된다. 적합한 확산 배리어들은 도핑된 SiC 및 SiN 및 도핑되지 않은 SiC 및 SiN을 포함한다. 이들 층들은 PECVD에 의해 디포지션될 수 있다. 예를 들어, SiCN은 전구체, 실리콘 및 탄소 (예를 들어, 알킬 실란) 및 질소 함유 가스 (예를 들어, NH3) 를 포함하는 가스에서 플라즈마를 형성함으로써 PECVD에 의해 디포지션될 수 있다. 이러한 확산 배리어층들의 구리로의 접착은 구리선 상의 캡핑층의 존재때문에 실질적으로 개선된다.
장치
일반적으로, 탄소계 오염물질들로부터의 구리선들의 세정 및 보호 캡들의 형성은 휘발성 전구체들의 도입을 허용하고, 예를 들어, 챔버 온도, 전구체 플로우 레이트들, 노출 시간들, 등의 반응 조건들에 대한 제어를 제공하도록 구성된, 임의의 타입의 장치에서 수행될 수 있다. 기판의 의도치 않은 산화 및 오염을 방지하기 위해, 주변 분위기에 기판을 노출시키지 않고 동작들 201 내지 211을 수행하는 것이 종종 바람직하다. 일 실시예에서, 동작들 201 내지 211은 진공을 파괴하지 않고 일 모듈에서 순차적으로 수행된다. 일부 실시예들에서, 동작들 201 내지 211은 일 챔버 내에 복수의 스테이션들을 갖거나 복수의 챔버들을 갖는 일 모듈에서 수행된다. CA, Fremont의 Lam Research, Inc.로부터 입수가능한 VECTORTM 모듈이 적합한 장치의 예이다. 다른 실시예들에서, 전세정 및 실릴레이트제를 사용한 처리가 일 장치에서 수행될 수 있고, 후속 동작들이 실릴레이트제를 사용한 처리 후에 공기 제동과 함께 상이한 장치에서 수행될 수 있다.
예시적인 장치는, 하나 이상의 웨이퍼들을 하우징하고 웨이퍼 프로세싱에 적합한 (때때로 복수의 스테이션들을 포함하는) 하나 이상의 챔버들 또는 "반응기들"을 포함할 것이다. 챔버 각각은 프로세싱을 위해 하나 이상의 웨이퍼들을 하우징할 수도 있다. 하나 이상의 챔버들은 규정된 위치 또는 위치들에 웨이퍼를 (그 위치에서의 모션, 예를 들어, 회전, 바이브레이션, 또는 다른 운동 (agitation) 과 함께 또는 모션이 없이) 유지한다. 도 3은 본 명세서에 제공된 실시예들에 따른, 구리 표면의 세정을 구현하기 위해 배열된 다양한 반응기 컴포넌트들을 예시하는 단순한 블록도를 제공한다. 도시된 바와 같이, 반응기 (300) 는, 반응기의 다른 컴포넌트들을 둘러싸고 샤워헤드 (303) 를 통해 전달된 프로세스 가스를 포함하도록 기능하는, 프로세스 챔버 (301) 를 포함한다. 반응기 내에서, 웨이퍼 페데스탈 (307) 은 웨이퍼 기판 (309) 을 지지하고 또한 기판을 가열하기 위한 히팅 블록 (305) 을 포함한다. 페데스탈은 통상적으로, 디포지션 반응들 동안 반응들 사이에 기판을 홀딩하고 이동시키기 위한 척, 포크, 또는 리프트 핀들을 포함한다. 척은 정전척, 기계 척 또는 산업 및/또는 연구에 사용할 수 있는, 다양한 다른 타입들의 척일 수도 있다.
프로세스 가스들은 유입부 (311) 를 통해 도입되고 가스 라인 (315) 에 의해 전달된다. 복수의 소스 가스 라인들 (317) 이 매니폴드 (319) 에 연결된다. 가스들은 미리 혼합되거나 미리 혼합되지 않을 수도 있다. 적절한 밸브 및 질량 유량 제어 메커니즘들이 전처리 및 실릴레이트제를 사용한 처리 동안 올바른 가스들이 전달되는 것을 보장하기 위해 채용된다. 실릴레이트제가 액체 형태로 전달되는 경우에서, 액체 플로우 제어 메커니즘들이 채용된다. 이어서 액체는, 디포지션 챔버에 도달하기 전에 기화점 이상으로 가열된 매니폴드에서 이동 동안 기화되고 다른 프로세스 가스와 혼합된다.
프로세스 가스들은 유출부 (321) 를 통해 챔버 (300) 를 나간다. 진공 펌프 (323) (예를 들어, 1단계 또는 2단계 기계적 건조 펌프 및/또는 터보분자 펌프) 는 통상적으로 프로세스 가스들을 인출하고, 쓰로틀 밸브 또는 펜둘럼 밸브 (pendulum valve) 와 같은, 클로즈 루프 제어된 플로우 제한 디바이스에 의해 반응기 내에서 적합하게 낮은 압력을 유지한다.
제어기 (325) 는 장치와 전기적으로 접속되고 전처리 프로세스 및 세정 프로세스를 제어하기 위해 구성된다. 제어기는 필수적인 온도, 압력, 전구체들의 플로우들을 제공하기 위한 프로그램 인스트럭션들 및 제공된 방법들의 다른 프로세싱 파라미터들을 포함할 수도 있다.
이들 실시예들에서, 전처리 또는 실릴레이트제 처리는 UV 조사를 사용하여 수행되고, 장치는 UV 광을 기판에 조사하도록 구성되고 제어기와 연결된 UV 램프 (미도시) 를 더 포함한다. 이들 실시예들에서, 전처리는 플라즈마를 사용하여 수행되고, 장치는 제어기와 연결되고, 고주파수 (HF) 및/또는 저주파수 (LF) 플라즈마를 위한 플라즈마 생성기를 더 포함할 수도 있다. 일부 실시예들에서, 장치는 전처리 동안 리모트 플라즈마를 사용하도록 구성되고, 프로세스 챔버와 유체 연통하는 플라즈마 생성 챔버를 포함하고, 장치는 전처리 동안 플라즈마 생성 챔버로부터 프로세스 챔버로 라디컬들을 전달하기 위해 구성된다.
본 발명의 또 다른 양태는 본 명세서에 기술된 방법들을 달성하도록 구성된 시스템 또는 모듈이다. 적합한 시스템은 프로세스 동작들을 달성하기 위한 하드웨어 및 본 발명에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 시스템 제어기는 통상적으로 하나 이상의 메모리 디바이스들 및 장치가 본 발명에 따른 방법을 수행하도록 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들을 포함할 것이다. 본 발명에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신 판독가능 매체는 시스템 제어기에 커플링될 수도 있다. 예를 들어, 제어기는 기판 전처리, 실릴레이트제 처리, 및 캡핑층 디포지션을 위해 적합한 프로세스 조건들을 제공하기 위한 프로그램 인스트럭션들 또는 빌트인 로직을 포함할 수도 있다. 예를 들어, 제어기는 실릴레이트제 처리 동안 적합한 온도를 유지하고, 실릴레이트제를 제거하기 위해 온도를 상승시키기 위한 프로그램 인스트럭션들을 포함할 수 있다. 제어기는 또한 전처리 동안 UV 램프를 제어할 수도 있고 기판의 UV 조사를 위한 프로그램 인스트럭션들을 포함할 수도 있다. 일반적으로, 제어기는 본 명세서에 제공된 방법들의 임의의 단계들을 수행하기 위한 인스트럭션들을 포함할 수도 있다.
본 명세서의 상기에 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, 광전 패널들, 등의 제조 또는 제작을 위한, 리소그래픽 패터닝 툴들 또는 프로세스들과 관련하여 사용될 수도 있다. 통상적으로, 필수적인 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비 내에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은, 통상적으로 단계들 각각이 다수의 가능한 툴들을 사용하여 제공되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 레지스트를 선택적으로 제거하도록 레지스트를 현상하여 습식 벤치와 같은 툴을 사용하여 레지스트를 패터닝하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.
실험적 예들
예 1. XPS (X-Ray Photoelectron spectroscopic) 데이터가 상이한 방법들에 의해 디포지션되고 프로세싱된 구리 박막들 상에서 획득된다. 도 4a는 전기도금에 의해 디포지션되고 CMP에 의해 평탄화된 구리 박막에 대한 XPS 데이터를 도시한다. 탄소 함유 오염물질들에 할당된 2 개의 피크들이 이 샘플에서 관찰된다: 약 289 eV에서의 피크는 탄소-산소 (카보네이트) 결합에 할당되고 약 285 eV에서의 피크는 C-C 또는 C-H 결합에 할당된다. 도 4b는 PVD에 의해 디포지션되고 후속 CMP 처리를 받지 않은 구리 박막에 대한 XPS 데이터를 도시한다. 탄소 함유 오염물질들에 할당된 2 개의 피크들이 이 샘플에서 관찰된다: 약 289 eV에서의 피크는 탄소-산소 (카르보닐) 결합에 할당되고 약 285 eV에서의 피크는 C-C 또는 C-H 결합에 할당된다. 두 그래프들은 C1s XPS 데이터를 참조한다. 이들 데이터는 탄소 함유 오염물질들이 상이한 방법들에 의해 디포지션된 구리층 상에 존재하고, CMP에서 사용된 화학적 조성들로부터 유도된 오염으로 제한되지 않는다는 것을 예시한다.
예 2. 탄소 및 실리콘 함량은 상이한 조건들 하에서 실릴레이트제를 사용하여 처리된 상이한 샘플들의 구리층들에서 XPS (각각 C1s 및 Si2p 피크들의 적분된 면적들을 사용하여) 에 의해 측정되었다. 도 5에 도시된 그래프는 총 탄소 함량 (x 축) 에 대한 실리콘 함량 (y 축) 의 의존도를 예시한다. 2 개의 데이터의 시리즈가 획득된다. 다이아몬드형으로 도시된 시리즈는 전착된 CMP 처리된 구리의 샘플들을 참조한다. 사각형으로 도시된 시리즈는 CMP에 의해 평탄화되지 않은 PVD 디포지션된 구리의 샘플들을 참조한다. 두 시리즈에서 탄소 및 실리콘 함량은 포지티브하게 상관되고, 탄소 함유 오염물질들과 실릴레이트제 간의 결합을 암시한다는 것을 알 수 있다.
예 3. 탄소 (C1s) 에 대한 XPS 데이터가 실릴레이트제를 사용한 처리 전 및 후에 구리층을 포함하는 샘플에 대해 획득되고, 이 처리는 반응된 실릴레이트제를 제거하기 위해 가열하는 것을 포함한다. 약 285 eV 및 289 eV에서의 피크들의 강도는 실질적으로 감소된다.
예 4. 구리 표면 상에서 실리콘, 구리, 산소, 탄소, 및 질소 함량은 전착된 CMP 처리된 구리층들 상에서, 층들이 상이한 조건들 하에서 처리된 후에, XPS에 의해 측정된다. 결과들이 도 6에 제공된 표에 도시된다. 표의 제 1 열은 샘플 식별 번호를 열거한다. 표의 제 2 열은 특정한 샘플이 전처리되었는지 여부를 나타낸다. 전처리는 15 Torr의 압력에서 30초 동안 NH3 가스에서 기판이 (UV 램프 강도의 90 %로) UV 조사되게 함으로써 수행된다. 표의 제 3 열은 실릴레이트제로의 노출 (화학물질 노출) 을 지칭한다. 샘플들은 플라즈마를 사용하지 않고 60초 동안 디메틸아미노트리메틸실란 실릴레이트제에 노출된다. 제 4 열은 실릴레이트제를 사용한 처리가 수행된 프로세스 온도 (페데스탈 온도) 를 열거한다. 샘플들 A1-A4은 250 ℃에서 처리되고 샘플들 B1-B4는 400 ℃에서 처리된다. 제 5 열은 실릴레이트제를 사용한 처리 동안 샘플들 A1, A2, B1, B2, C1, 및 C2에 대해 수행된 UV 노출을 열거한다. 제 6 열은 샘플들을 아르곤 대기에서 15 Torr의 압력에서 400 ℃로 5분 동안 가열함으로써 샘플들 A2, A4, B2, B4, C2 및 C4에 대해 수행된 전처리를 열거한다. 나머지 열들은 실리콘, 구리, 산소, 탄소, 및 질소 (atomic %로) 의 함량을 열거한다. "제어" 샘플은 어떠한 처리도 없는, 구리 표면 상에서의 이들 원소들의 함량을 열거한다. 구리 표면 상의 탄소의 함량은 250 ℃의 온도에서 실릴레이트제를 사용하여 처리되고, 이어서 반응된 실릴레이트제를 제거하기 위해 보다 높은 온도로 가열된 샘플들 A2, A4, B2, 및 B4에서 (제어 샘플에 비해) 감소된다는 것을 알 수 있다. UV 조사 없이 처리된 샘플들 A4 및 B4는 UV 조사의 존재 시 처리된 샘플들 A2 및 B2보다 낮은 표면 상의 실리콘 함량을 나타낸다.
예 5. 코발트는 MOCVD에 의해 구리층 및 ULK 유전체 (k = 2.55) 상에 디포지션된다. 코발트 함량은 구리 표면 및 ULK 유전체 표면 상에서 측정되고 디포지션의 선택도는 유전체 표면 상의 코발트 농도에 대한 구리 표면 상의 코발트 농도의 비로 결정된다. 도 7a는 상이한 디포지션 조건들에 대한, 구리 샘플들 및 ULK 유전체 샘플들 상의 코발트 함량을 예시하는 막대 그래프이다.
모든 샘플들에 대해, 플라즈마 없이 수소 가스를 함유하는 프로세스 가스에서 기판을 카르보닐계 코발트 전구체에 노출시킴으로써 코발트가 디포지션된다. 샘플들 1 및 2는 실릴레이트제를 사용하여 처리되지 않은 기판들 상의 구리 및 유전체 (각각) 상의 코발트 농도를 예시한다. 32의 선택도가 획득되었다. 샘플들 3 및 4는 250 ℃에서 실릴레이트제를 사용하여 처리되고 이어서 반응된 실릴레이트제를 제거하기 위해 400 ℃로 가열된 기판들 상의 구리 및 유전체 (각각) 상의 코발트 농도를 예시한다. 선택도가 43으로 향상된 것을 알 수 있다. 샘플들 5 및 6은 250 ℃에서 실릴레이트제를 사용하여 처리되고 후속하는 가열 및 반응된 실릴레이트제의 제거가 없는 기판들 상의 구리 및 유전체 (각각) 상의 코발트 농도를 예시한다. 구리 상에서의 코발트 성장은 이 경우에 억제된다는 것을 알 수 있다. 샘플들 7 및 8은 250 ℃에서 NH3 및 동시에 UV 조사를 사용하여 전처리되고 이어서 실릴레이트제를 사용하여 250 ℃에서 처리되고 후속하여 반응된 실릴레이트제를 제거하기 위해 가열된 기판들 상의 구리 및 유전체 (각각) 상의 코발트 농도를 예시한다. 이 경우 선택도가 상당히 향상되고, 유전체 상에서 코발트의 디포지션이 검출되지 않는다는 것을 알 수 있다. 샘플들 9 및 10은 250 ℃에서 NH3 및 동시에 UV 조사를 사용하여 전처리되고 이어서 실릴레이트제를 사용하여 250 ℃에서 처리되고 반응된 실릴레이트제를 제거하기 위한 후속 가열되지 않은 기판들 상의 구리 및 유전체 (각각) 상의 코발트 농도를 예시한다. 구리 상에서의 코발트 성장은 이 경우에 억제되고, 나쁜 디포지션 선택도를 유도한다는 것을 알 수 있다.
예 6. 코발트는 상이한 타입들의 구리층들 상 그리고 상이한 ULK 유전체들 상에 MOCVD에 의해 디포지션된다. 코발트 함량은 XRF에 의해 측정되고 도 7b에 나타낸 막대 그래프로 도시된다. 구체적으로, 샘플들 11, 15, 19, 및 23은 ULK (k = 2.4) 상에서의 디포지션을 나타내고; 샘플들 12, 16, 20, 및 24는 ULK (k = 2.55) 상에서의 디포지션을 나타내고, 샘플들, 13, 17, 21, 및 25는 PVD 디포지션된 구리 상에서의 디포지션을 나타내고, 샘플들 14, 18, 22, 및 26은 CMP에 의해 평탄화된 전착된 구리 상에서의 디포지션을 나타낸다. 코발트는 예 5에서 기술된 바와 동일한 방법을 사용하여 디포지션된다. 모든 샘플들이 실릴레이트제를 사용하여 처리되고 이어서 반응된 실릴레이트제를 제거하기 위해 아르곤 대기에서 400 ℃로 가열된다. 샘플들 11, 12, 13, 14은 UV 조사 없이 그리고 어떠한 전처리도 없이 250 ℃에서 실릴레이트제를 사용하여 처리된다. 샘플들 15, 16, 17, 18은 250 ℃에서 암모니아와 동시에 UV 조사를 사용하여 전처리되고, 이어서 250 ℃에서 실릴레이트제를 사용하여 처리된다. 샘플들 19, 20, 21, 및 22는 UV 조사 없이 그리고 어떠한 전처리도 없이 400 ℃에서 실릴레이트제를 사용하여 처리된다. 샘플들 23, 24, 25, 및 26은 250 ℃에서 암모니아와 동시에 UV 조사를 사용하여 전처리되고, 이어서 400 ℃에서 실릴레이트제를 사용하여 처리된다. 실릴레이트제를 사용한 처리 동안 보다 높은 온도 (400 ℃) 보다 더 낮은 온도 (250 ℃) 가 보다 바람직하고, 암모니아를 사용한 UV 전처리가 모든 테스트된 샘플들에서의 유전체 상의 코발트의 성장을 감소시킨다는 것을 알 수 있다.

Claims (20)

  1. 반도체 디바이스 구조물을 형성하기 위한 방법으로서,
    (a) 금속의 노출된 층 및 유전체의 노출된 층을 포함하는 반도체 기판을 제공하는 단계로서, 상기 금속은 구리, 코발트, 및 니켈로 구성된 그룹으로부터 선택되는, 상기 반도체 기판을 제공하는 단계;
    (b) 상기 노출된 금속층의 표면 상의 탄소 함유 오염물질들과 실릴레이트제 (silylating agent) 가 반응하도록 제 1 온도에서 상기 실릴레이트제와 상기 제공된 반도체 기판을 접촉시키는 단계; 및
    (c) 상기 접촉 후에, 상기 반도체 기판의 상기 금속 표면으로부터 상기 반응된 실릴레이트제를 제거하기 위해 보다 높은 온도로 상기 반도체 기판을 가열하는 단계; 및
    (d) 상기 금속 표면으로부터 상기 반응된 실릴레이트제를 제거한 후, 상기 유전체층 상에 동일한 캡핑층 (capping layer) 을 증착하지 않고, 상기 금속 표면 상에 상기 캡핑층을 선택적으로 증착하는 단계를 포함하는, 반도체 디바이스 구조물을 형성하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 금속의 노출된 층은 구리의 노출된 층인, 반도체 디바이스 구조물을 형성하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 캡핑층은 금속 함유 캡핑층인, 반도체 디바이스 구조물을 형성하기 위한 방법.
  4. 제 1 항에 있어서,
    상기 캡핑층은 코발트 및/또는 망간을 포함하는 금속 함유 캡핑층인, 반도체 디바이스 구조물을 형성하기 위한 방법.
  5. 제 1 항에 있어서,
    단계 (d) 는 유기금속 화합물과 상기 기판을 접촉시키는 단계를 포함하는, 반도체 디바이스 구조물을 형성하기 위한 방법.
  6. 제 1 항에 있어서,
    단계 (d) 는 코발트와 아릴, 아미디네이트 (amidinate), 디아자디에닐 (diazadienyl), 및 시클로펜타디에닐로 구성된 그룹으로부터 선택된 리간드를 포함하는 유기코발트 화합물과 상기 기판을 접촉시키는 단계를 포함하는, 반도체 디바이스 구조물을 형성하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 기판을 상기 실릴레이트제와 접촉시키기 전에 상기 기판을 전처리하는 단계를 더 포함하고,
    상기 전처리는 Ar, He, N2, NH3 및 H2 중 적어도 하나를 포함하는 가스 내에서의 직접 플라즈마 처리, 리모트 플라즈마 처리, UV 처리 및 열 처리로 구성된 그룹으로부터 선택되는, 반도체 디바이스 구조물을 형성하기 위한 방법.
  8. 제 7 항에 있어서,
    상기 기판은 전처리와 상기 실릴레이트제와의 접촉 사이에 대기에 노출되지 않는, 반도체 디바이스 구조물을 형성하기 위한 방법.
  9. 제 1 항에 있어서,
    상기 실릴레이트제는 트리메톡시실란, 디에톡시메틸실란, 디메틸아미노트리메틸실란, 에톡시트리메틸실란, 비스-디메틸아미노디메틸실란, 비닐트리메틸실란, 비닐트리메톡시실란, 트리메틸실릴아세틸렌, (3-메르캅토프로필) 트리메톡시실란, 페닐트리메톡시실란 및 이의 조합들로 구성된 그룹으로부터 선택되는, 반도체 디바이스 구조물을 형성하기 위한 방법.
  10. 제 1 항에 있어서,
    상기 제 1 온도는 약 100 내지 약 300 ℃인, 반도체 디바이스 구조물을 형성하기 위한 방법.
  11. 제 1 항에 있어서,
    상기 실릴레이트제는 불활성 가스이고,
    상기 불활성 가스의 플로우 레이트는 상기 실릴레이트제의 플로우 레이트보다 적어도 약 10배 큰, 반도체 디바이스 구조물을 형성하기 위한 방법.
  12. 제 1 항에 있어서,
    단계 (b) 는 약 0.5 내지 20 Torr의 압력에서 수행되는, 반도체 디바이스 구조물을 형성하기 위한 방법.
  13. 제 1 항에 있어서,
    단계 (c) 는 Ar, He, N2, NH3, H2 및 이의 혼합물들로 구성된 그룹으로부터 선택된 가스에서 약 120 내지 약 450 ℃의 온도로 수행되는, 반도체 디바이스 구조물을 형성하기 위한 방법.
  14. 제 1 항에 있어서,
    상기 실릴레이트제는 상기 노출된 유전체와 추가로 반응하고 상기 캡핑층의 증착에 대해 상기 유전체를 패시베이션하는, 반도체 디바이스 구조물을 형성하기 위한 방법.
  15. 제 1 항에 있어서,
    상기 유전체는 약 3 미만의 유전상수를 갖는, 반도체 디바이스 구조물을 형성하기 위한 방법.
  16. 제 1 항에 있어서,
    (e) 상기 캡핑된 금속 위 및 상기 노출된 유전체 위에 유전체층을 증착하는 단계를 더 포함하는, 반도체 디바이스 구조물을 형성하기 위한 방법.
  17. 제 16 항에 있어서,
    상기 유전체층은 도핑되거나 도핑되지 않은 실리콘 카바이드를 포함하는, 반도체 디바이스 구조물을 형성하기 위한 방법.
  18. 제 1 항에 있어서,
    상기 기판에 포토레지스트를 도포하는 단계;
    상기 포토레지스트를 노광시키는 단계;
    상기 포토레지스트를 패터닝하고 상기 패턴을 상기 기판에 전사시키는 단계; 및
    상기 기판으로부터 상기 포토레지스트를 선택적으로 제거하는 단계를 더 포함하는, 반도체 디바이스 구조물을 형성하기 위한 방법.
  19. 웨이퍼 기판 상에 반도체 디바이스 구조물을 형성하기 위한 장치로서,
    (a) 가스성 반응물 또는 휘발성 반응물을 도입하기 위한 유입부를 갖는 프로세스 챔버;
    (b) 프로세싱 챔버 내에서의 상기 웨이퍼 기판의 프로세싱 동안 상기 웨이퍼 기판을 제 위치에 홀딩하기 위한 웨이퍼 기판 지지부; 및
    (c) 프로그램 인스트럭션들을 포함하는 제어기를 포함하고,
    상기 프로그램 인스트럭션들은,
    (i) 상기 노출된 금속층의 표면 상의 탄소 함유 오염물질들과 실릴레이트제가 반응하도록, 구리, 코발트, 및 니켈로 구성된 그룹으로부터 선택된 금속의 노출된 층 및 유전체의 노출된 층을 갖는 상기 웨이퍼 기판을 제 1 온도에서 상기 실릴레이트제와 접촉시키고; 그리고
    (ii) 접촉 후에, 상기 웨이퍼 기판의 상기 금속 표면으로부터 상기 반응된 실릴레이트제를 제거하기 위해 보다 높은 온도로 상기 웨이퍼 기판을 가열하고; 그리고
    (iii) 상기 금속 표면으로부터 상기 반응된 실릴레이트제를 제거한 후, 상기 유전체층 상에 동일한 캡핑층을 증착하지 않고, 상기 금속 표면 상에 상기 캡핑층을 선택적으로 증착하기 위한 것인, 반도체 디바이스 구조물을 형성하기 위한 장치.
  20. 제 19 항에 기재된 장치 및 스텝퍼를 포함하는, 시스템.
KR1020150088974A 2014-06-25 2015-06-23 상호접속 캡핑 애플리케이션들을 위한 금속 상호접속부들 내의 탄소계 오염물질의 세정 KR20160000863A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/314,479 US20150380296A1 (en) 2014-06-25 2014-06-25 Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications
US14/314,479 2014-06-25

Publications (1)

Publication Number Publication Date
KR20160000863A true KR20160000863A (ko) 2016-01-05

Family

ID=54931318

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150088974A KR20160000863A (ko) 2014-06-25 2015-06-23 상호접속 캡핑 애플리케이션들을 위한 금속 상호접속부들 내의 탄소계 오염물질의 세정

Country Status (4)

Country Link
US (1) US20150380296A1 (ko)
KR (1) KR20160000863A (ko)
CN (1) CN105225925A (ko)
TW (1) TW201612351A (ko)

Families Citing this family (308)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10043709B2 (en) * 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
JP6998945B2 (ja) * 2016-10-02 2022-01-18 アプライド マテリアルズ インコーポレイテッド ルテニウムライナーと共に銅のエレクトロマイグレーションを改善するドープされた選択的な金属キャップ
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10347529B2 (en) * 2017-10-04 2019-07-09 Globalfoundries Inc. Interconnect structures
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) * 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11024801B2 (en) 2018-06-27 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Diffusion layer for magnetic tunnel junctions
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11984354B2 (en) * 2018-06-30 2024-05-14 Lam Research Corporation Zincating and doping of metal liner for liner passivation and adhesion improvement
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11101175B2 (en) * 2018-11-21 2021-08-24 International Business Machines Corporation Tall trenches for via chamferless and self forming barrier
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20210090991A1 (en) 2019-09-24 2021-03-25 Intel Corporation Integrated circuit structures having linerless self-forming barriers
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
CN110643970A (zh) * 2019-10-16 2020-01-03 江苏鲁汶仪器有限公司 一种在iii-v材料上镀高粘附性薄膜的方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US20220122915A1 (en) * 2020-10-19 2022-04-21 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5220020A (en) * 1990-11-21 1993-06-15 Massachusetts Institute Of Technology Catalytic reduction of organic carbonyls using metal catalysts
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US20070048991A1 (en) * 2005-08-23 2007-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Copper interconnect structures and fabrication method thereof
US20070249156A1 (en) * 2006-04-20 2007-10-25 Griselda Bonilla Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
CN101484984B (zh) * 2006-07-05 2010-12-15 东京毅力科创株式会社 无定形碳膜的后处理方法
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US20080197499A1 (en) * 2007-02-15 2008-08-21 International Business Machines Corporation Structure for metal cap applications
CN101641767B (zh) * 2007-03-16 2013-10-30 富士通株式会社 硅系绝缘膜的蚀刻后处理剂、半导体装置的制造方法以及半导体装置
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
JP5380901B2 (ja) * 2008-05-12 2014-01-08 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP5014356B2 (ja) * 2009-01-15 2012-08-29 パナソニック株式会社 半導体装置の製造方法
US20120100716A1 (en) * 2010-10-20 2012-04-26 Globalfoundries Singapore Pte., Ltd Method to improve reliability (EM and TDDB) with post silylation plasma treatment process for copper damascene structures
WO2014189671A1 (en) * 2013-05-24 2014-11-27 Applied Materials, Inc. Cobalt selectivity improvement in selective cobalt process sequence
US9153482B2 (en) * 2014-02-03 2015-10-06 Lam Research Corporation Methods and apparatus for selective deposition of cobalt in semiconductor processing
US10043709B2 (en) * 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer

Also Published As

Publication number Publication date
CN105225925A (zh) 2016-01-06
US20150380296A1 (en) 2015-12-31
TW201612351A (en) 2016-04-01

Similar Documents

Publication Publication Date Title
KR20160000863A (ko) 상호접속 캡핑 애플리케이션들을 위한 금속 상호접속부들 내의 탄소계 오염물질의 세정
KR101995602B1 (ko) 상호접속을 위한 캡핑층들을 함유하는 금속 및 실리콘
TWI657535B (zh) 用於半導體裝置中之金屬內連線的介電阻障層之選擇性形成
TWI459508B (zh) 用於互連的界面覆蓋層
TWI656577B (zh) 半導體處理中鈷之選擇性沉積用的方法及設備
US9379210B2 (en) Sacrificial pre-metal dielectric for self-aligned contact scheme
TWI591761B (zh) 在氣隙形成期間金屬內連線之選擇性加蓋
KR101698628B1 (ko) 계면 표면의 원격 플라즈마 프로세싱
TWI459470B (zh) 半導體裝置之表面清理與含金屬之覆蓋層的選擇性沈積
US7727880B1 (en) Protective self-aligned buffer layers for damascene interconnects
US7858510B1 (en) Interfacial layers for electromigration resistance improvement in damascene interconnects
JP5773306B2 (ja) 半導体素子構造を形成する方法および装置
US7727881B1 (en) Protective self-aligned buffer layers for damascene interconnects
CN109791914B (zh) 用于互连结构的复合介电界面层
JP2016063141A (ja) 半導体装置の製造方法、ならびに皮膜の形成方法および形成装置