CN104851794A - 蚀刻方法和等离子体处理装置 - Google Patents

蚀刻方法和等离子体处理装置 Download PDF

Info

Publication number
CN104851794A
CN104851794A CN201510076454.1A CN201510076454A CN104851794A CN 104851794 A CN104851794 A CN 104851794A CN 201510076454 A CN201510076454 A CN 201510076454A CN 104851794 A CN104851794 A CN 104851794A
Authority
CN
China
Prior art keywords
gas
region
mounting table
supply
controls
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510076454.1A
Other languages
English (en)
Other versions
CN104851794B (zh
Inventor
户村幕树
渡边光
加藤隆彦
本田昌伸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN104851794A publication Critical patent/CN104851794A/zh
Application granted granted Critical
Publication of CN104851794B publication Critical patent/CN104851794B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供一种相对于由氮化硅构成的第2区域而对由氧化硅构成的第1区域选择性地进行蚀刻的蚀刻方法和等离子体处理装置。该方法具有工序(a)和工序(b)。在工序(a)中,将被处理体暴露在碳氟化合物气体的等离子体中而在第2区域上形成比形成在第1区域上的保护膜厚的保护膜。在工序(b)中,利用碳氟化合物气体的等离子体来对第1区域进行蚀刻。在工序(a)中,将被处理体的温度设定为60℃~250℃的温度。

Description

蚀刻方法和等离子体处理装置
技术领域
本发明涉及蚀刻方法和等离子体处理装置。
背景技术
在半导体器件的制造过程中,有时要在氧化硅膜上形成接触孔(日文:コンタクトホール)。另外,半导体器件的微细化不断发展,作为形成接触孔的技术而使用一种SAC(Self-Aligned Contact:自对位接触)技术。
SAC技术是作为在例如位于两个栅极之间的氧化硅膜上形成接触孔的技术而使用的。具体而言,将覆盖两个栅极的氮化硅膜设于该栅极与氧化硅膜之间。通过使该氮化硅膜作为蚀刻阻挡层发挥作用,从而在位于两个栅极之间的区域的氧化硅膜上自对位(日文:自己整合)地形成接触孔。在这样的SAC技术中,如日本特开2000-307001号公报所记载的那样,通常在氧化硅膜的蚀刻中使用碳氟化合物气体的等离子体。
专利文献1:日本特开2000-307001号公报
发明内容
发明要解决的问题
如所述SAC技术那样,在半导体器件的制造过程中,有时相对于由氮化硅构成的区域而选择性地对由氧化硅构成的区域进行蚀刻。然而,碳氟化合物气体的等离子体不仅会对由氧化硅构成的区域进行蚀刻,还会对由氮化硅构成的区域进行蚀刻。例如,在以往的SAC技术中,在对氧化硅膜进行蚀刻且使氮化硅膜暴露时,有可能产生将该氮化硅膜削去这样的现象。
因而,在由氧化硅构成的区域的选择性蚀刻中,需要抑制由氮化硅构成的区域被削去。
用于解决问题的方案
在一技术方案中,提供一种相对于由氮化硅构成的第2区域而对由氧化硅构成的第1区域选择性地进行蚀刻的蚀刻方法。该方法包括以下工序:(a)在第2区域上形成比形成在第1区域上的保护膜厚的保护膜的工序(以下,称作“工序(a)”),在该工序中,将具有该第1区域和该第2区域的被处理体暴露在碳氟化合物气体的等离子体中;以及(b)对第1区域进行蚀刻的工序(以下,称作“工序(b)”),在该工序中,将所述被处理体暴露在碳氟化合物气体的等离子体中。在该方法中,将在工序(a)中向载置有被处理体的载置台供给的高频偏置电力设定为小于在工序(b)中向载置台供给的高频偏置电力。例如,在工序(a)中,也可以不向载置台供给高频偏置电力。另外,在该方法的工序(a)中,将所述被处理体的温度设定为60℃~250℃的温度。
在60℃~250℃的温度环境下,形成在第2区域上的碳氟化合物系的保护膜的厚度厚于形成在第1区域上的该保护膜的厚度。另外,在被供给了较低的偏置电力的状态下,第2区域的蚀刻速度变低。因而,采用所述方法,能够在工序(a)中在第2区域上形成较厚的保护膜,从而能够抑制在工序(b)中对第1区域选择性地进行蚀刻时第2区域被削去。
在一技术方案中,也可以将第2区域埋入到第1区域内。该技术方案的方法还包括以下工序:(c)生成含有氢、氮以及氟的气体的等离子体而使第1区域变质以形成变质区域的工序(以下,称作“工序(c)”);以及(d)去除变质区域的工序(以下,称作“工序(d)”)。在该技术方案的方法中,在通过工序(c)和工序(d)而使第2区域暴露之后,进行工序(a)和工序(b)。在该技术方案中,通过工序(c)和工序(d)将第1区域的氧化硅变质为氟硅酸铵(ammonium fluorosilicate),通过工序(d)将由该氟硅酸铵构成的变质区域去除。由于在这些工序(c)和工序(d)中选择性地作用于第1区域,因此能够在抑制第2区域的损伤的同时使第2区域暴露。在执行该工序(c)和工序(d)之后,执行工序(a)和工序(b),由此,即使在第2区域暴露之后,也能够在抑制该第2区域的损伤的同时对第1区域进行蚀刻。此外,在工序(d)中,既可以对被处理体进行加热,也可以将被处理体暴露在非活性气体的等离子体中。
在一技术方案中,作为所述碳氟化合物气体,也可以使用含有C4F6、C4F8以及C6F6中的至少一者的气体。另外,在一技术方案中,也可以交替地重复工序(a)和工序(b)。
在另一技术方案中,提供一种能够用于实施所述方法的等离子体处理装置。该等离子体处理装置包括处理容器、载置台、温度调整机构、气体供给部、等离子体生成部、电力供给部以及控制部。载置台设于处理容器内,将被处理体载置在该载置台上。温度调整机构用于调整载置台的温度。气体供给部用于向处理容器内供给含有氢、氮以及氟的第1气体、含有碳氟化合物的第2气体、以及含有碳氟化合物的第3气体。等离子体生成部产生用于将供给至处理容器内的气体激励的能量。电力供给部用于向载置台供给高频偏置电力。控制部对温度调整机构、气体供给部、等离子体生成部以及电力供给部进行控制。控制部执行如下控制:第1控制,其该第1控制中,使气体供给部供给第1气体并使等离子体生成部产生能量;第2控制,在该第2控制中,使温度调整机构加热载置台;第3控制,在该第3控制中,使气体供给部供给第2气体并使等离子体生成部产生能量;以及第4控制,在该第4控制中,使气体供给部供给第3气体并使等离子体生成部产生能量。控制部控制电力供给部,使得在第3控制中向载置台供给的高频偏置电力小于在第4控制中向载置台供给的高频偏置电力,控制部控制温度调整机构,使得在第3控制中载置台的温度为60℃~250℃的温度。采用该等离子体处理装置,能够使用单个等离子体处理装置来进行所述工序(a)、工序(b)、工序(c)以及工序(d)。
在又一技术方案中,也提供一种能够用于实施所述方法的等离子体处理装置。该等离子体处理装置包括处理容器、载置台、温度调整机构、气体供给部、等离子体生成部、电力供给部以及控制部。载置台设于处理容器内,将被处理体载置在该载置台上。另外,温度调整机构用于调整载置台的温度。气体供给部用于向处理容器内供给含有氢、氮以及氟的第1气体、含有碳氟化合物的第2气体、含有碳氟化合物的第3气体、以及非活性气体。等离子体生成部产生用于将供给至处理容器内的气体激励的能量。电力供给部用于向载置台供给高频偏置电力。控制部对温度调整机构、气体供给部、等离子体生成部以及电力供给部进行控制。控制部执行如下控制:第1控制,其该第1控制中,使气体供给部供给第1气体并使等离子体生成部产生能量;第2控制,在该第2控制中,使气体供给部供给非活性气体并使等离子体生成部产生能量;第3控制,在该第3控制中,使气体供给部供给第2气体并使等离子体生成部产生能量;以及第4控制,在该第4控制中,使气体供给部供给第3气体并使等离子体生成部产生能量。控制部控制电力供给部,使得在第3控制中向载置台供给的高频偏置电力小于在第4控制中向载置台供给的高频偏置电力,控制部控制温度调整机构,使得在第3控制中载置台的温度为60℃~250℃的温度。采用该等离子体处理装置,也能够使用单个等离子体处理装置来进行所述工序(a)、工序(b)、工序(c)以及工序(d)。
在一技术方案中,第2气体也可以含有C4F6、C4F8以及C6F6中的至少一者。另外,在一技术方案中,也可以是,控制部在交替地重复执行第1控制和第2控制之后,执行第3控制和第4控制。另外,也可以是,控制部交替地重复执行第3控制和第4控制。
发明的效果
如以上说明那样,在对由氧化硅构成的区域进行的选择性蚀刻中,能够抑制由氮化硅构成的区域被削去。
附图说明
图1是表示一实施方式的蚀刻方法的流程图。
图2是表示被处理体的一个例子的剖视图。
图3是表示一实施方式的等离子体处理装置的图。
图4是表示在执行一实施方式的蚀刻方法的各工序之后的状态下的被处理体的剖视图。
图5是表示在执行一实施方式的蚀刻方法的各工序之后的状态下的被处理体的剖视图。
图6是表示在执行一实施方式的蚀刻方法的各工序之后的状态下的被处理体的剖视图。
具体实施方式
以下,参照附图详细说明各种实施方式。此外,在各附图中,对于相同或相当的部分标注相同的附图标记。
图1是表示一实施方式的蚀刻方法的流程图。图1所示的方法MT是相对于由氮化硅构成的第2区域而对由氧化硅构成的第1区域选择性地进行蚀刻的方法。在一个例子中,该方法MT能够用于在图2所示的被处理体上自对位地形成孔。
图2所示的一个例子的被处理体(以下,称作“晶圆W”)具有基底层100、多个隆起区域102、第2区域104、第1区域106以及掩模108。该晶圆W能够是在例如鳍式场效应晶体管的制造过程中制得的产物。
基底层100能够由例如多晶硅构成。在一个例子中,基底层是鳍区域并具有大致长方体形状。多个隆起区域102设置在基底层100上并互相大致平行地排列。这些隆起区域102能够是例如栅极区域。第2区域104由氮化硅构成并以覆盖隆起区域102的方式设置。另外,将多个隆起区域102埋入到第1区域106内。即,第1区域106以隔着第2区域104覆盖隆起区域102的方式设置。该第1区域106由氧化硅构成。在第1区域106上设有掩模108。掩模108具有在相邻的隆起区域102之间的上方开口的图案。该掩模108由有机膜构成。此外,能够通过光刻来制作掩模108。
当对晶圆W实施方法MT时,能够相对于第2区域104而对晶圆W的第1区域106选择性地进行蚀刻,从而能够在相邻的隆起区域102之间的区域自对位地形成孔。所形成的孔通过相邻的隆起区域102之间的区域延伸到基底层100的表面。该孔能够例如是与鳍区域的源极或漏极相连接的接触用的孔。
以下,在详细说明方法MT中的各工序之前,说明能够用于实施该方法MT的一实施方式的等离子体处理装置。图3是表示一实施方式的等离子体处理装置的图。图3所示的等离子体处理装置10是电容耦合型等离子体处理装置。
等离子体处理装置10包括处理容器12。处理容器12具有大致圆筒形状并在其内部提供处理空间S。在处理容器12的侧壁上设有用于输入和输出晶圆W的开口。该开口能够通过闸阀30进行开闭。
等离子体处理装置10在处理容器12内设置有载置台14。在一实施方式中,等离子体处理装置10还包括筒状保持部20和筒状支承部22。筒状保持部20自处理容器12内的底部向上方延伸而保持载置台14。筒状支承部22自处理容器12的底部向上方延伸并隔着筒状保持部20支承载置台14。
载置台14具有基台16和静电卡盘18。基台16具有大致圆板形状并具有导电性。基台16例如为铝制,其构成下部电极。
基台16经由匹配器34与高频电源32电连接。在一实施方式中,高频电源32用于将具有离子吸引用的规定的频率、例如400kHz~13.56MHz的频率的高频偏置电力向基台16供给。该高频电源32构成一实施方式的电力供给部。
在基台16上设有静电卡盘18。静电卡盘18为大致圆板状的构件,具有绝缘层18a和供电层18b。绝缘层18a是由陶瓷等电介质形成的膜,供电层18b是作为绝缘层18a的内层形成的导电性的膜。供电层18b经由开关SW与直流电源56相连接。在由直流电源56向供电层18b供给直流电压时,产生库仑力,在该库仑力的作用下晶圆W被吸附保持在静电卡盘18上。
另外,在静电卡盘18的边缘的外侧和晶圆W的边缘的外侧,以包围这些静电卡盘18和晶圆W的方式设有聚焦环FR。聚焦环FR能够由例如硅或石英构成。
在一实施方式中,在处理容器12的侧壁与筒状支承部22之间设有排气路径24。在排气路径24上设有挡板25。另外,在排气路径24的底部设有排气口26a。排气口26a由嵌入处理容器12的底部的排气管26提供。该排气管26与排气装置28相连接。排气装置28具有真空泵,能够将处理容器12内的处理空间S减压至规定的真空度。
另外,等离子体处理装置10还具有一实施方式的温度调整机构。该温度调整机构通过调整载置台14的温度来对载置在该载置台14上的晶圆的温度进行控制。以下,具体地说明温度调整机构。
在基台16内形成有制冷剂流路16p。制冷剂流路16p的一端与入口配管相连接,制冷剂流路16p的另一端与出口配管相连接。入口配管和出口配管均与冷却单元17相连接。冷却单元17经由入口配管向制冷剂流路16p供给制冷剂,并经由出口配管自该制冷剂流路16p回收制冷剂。通过使制冷剂如此在制冷剂流路16p中循环,能够调整载置台14的温度,从而能够对载置在该载置第14上的晶圆的温度进行调整。
另外,载置台14具有作为加热元件的加热器HT。加热器HT埋入到例如静电卡盘18内。加热器HT与加热器电源HP相连接。通过自加热器电源HP向加热器HT供给电力而调整载置台14的温度,从而对载置在该载置第14上的晶圆的温度进行调整。
在一实施方式中,等离子体处理装置10还包括气体供给管线58、60和导热气体供给部62、64。导热气体供给部62与气体供给管线58相连接。该气体供给管线58延伸至静电卡盘18的上表面,并在该上表面的中央部分处呈环状延伸。导热气体供给部62用于将例如He气体这样的导热气体供给到静电卡盘18的上表面与晶圆W之间。另外,导热气体供给部64与气体供给管线60相连接。气体供给管线60延伸至静电卡盘18的上表面,并在该上表面以包围气体供给管线58的方式呈环状延伸。导热气体供给部64用于将例如He气体这样的导热气体供给到静电卡盘18的上表面与晶圆W之间。
另外,等离子体处理装置10还包括一实施方式的气体供给部和等离子体生成部。气体供给部能够向处理容器12内供给多种气体,并能够向处理容器12内选择性地供给在方法MT的各工序中使用的气体。另外,等离子体生成部以能产生用于将供给到处理容器12内的气体激励的能量的方式构成。以下,具体地说明气体供给部和等离子体生成部的一实施方式。
等离子体处理装置10包括喷头38。喷头38设在载置台14的上方并隔着处理空间S与该载置台14相对。喷头38构成上部电极并包括电极板40和电极支承体42。
电极板40是具有大致圆板形状的导电性的板。电极板40经由匹配器36与高频电源35电连接。高频电源35向电极板40供给具有等离子体生成用的规定的高频、例如40MHz~100MHz的频率的高频电力。在利用高频电源35向电极板40供给高频电力时,在基台16与电极板40之间的空间、即处理空间S形成高频电场,在该高频电场的作用下,气体被激励。由此,在处理容器12内生成等离子体。因而,基台16、喷头38以及高频电源35构成一实施方式的等离子体生成部。
在电极板40上形成有多个气体通气孔40h。电极板40以能够拆卸的方式被电极支承体42支承。在电极支承体42的内部设有缓冲室42a。另外,在电极支承体42上形成有分别与多个气体通气孔40h相连续的多个孔,该多个孔与缓冲室42a相连通。另外,等离子体处理装置10还包括气体供给部44,缓冲室42a的气体导入口42b经由气体供给导管46与气体供给部44相连接。
气体供给机构44向喷头38选择性地供给在方法MT的各工序中使用的气体。具体而言,气体供给机构44能够供给第1气体、第2气体、第3气体以及非活性气体。第1气体是含有氢、氮以及氟的气体。第2气体和第3气体是含有碳氟化合物的气体。第1气体能够是例如H2气体、N2气体以及NF3气体这三者的混合气体。另外,第1气体还能够含有Ar气体这样的稀有气体。另外,第2气体和第3气体是含有C4F6、C4F8、以及C6F6中的至少一者的气体。另外,第2气体和第3气体还能够含有Ar气体这样的稀有气体和O2气体。另外,非活性气体能够是Ar气体这样的稀有气体或N2气体。
气体供给机构44能够具有所述多种气体的气体源以及与该多种气体源对应地设置的流量控制器和阀。气体供给机构44向喷头38选择性地供给所述多种气体中的、用于各工序中的一种以上的气体。由此,气体供给机构44能够向喷头38选择性地供给所述第1气体、第2气体、第3气体以及非活性气体。供给至喷头38的气体经由缓冲室42a和气体通气孔40h被供给至处理空间S。因而,气体供给机构44和喷头38构成一实施方式的气体供给部。
在一实施方式中,在处理容器12的顶部设有呈环状或同心状延伸的磁场形成机构48。该磁场形成机构48发挥这样的作用:使处理空间S内容易地开始高频放电(等离子体点火)并稳定地维持放电。
另外,在一实施方式中,等离子体处理装置10还包括控制部66。该控制部66连接于冷却单元17、排气装置28、开关SW、高频电源32、匹配器34、高频电源35、匹配器36、气体供给机构44、导热气体供给部62、64以及加热器电源HP。控制部66用于分别向排气装置28、开关SW、高频电源32、匹配器34、高频电源35、匹配器36、气体供给部44、导热气体供给部62、64以及加热器电源HP发送控制信号。利用来自控制部66的控制信号控制基于冷却单元17的制冷剂的供给、基于排气装置28的排气、开关SW的开闭、来自高频电源32的高频偏置电力的供给、匹配器34的阻抗调整、来自高频电源35的电力的供给、匹配器36的阻抗调整、基于气体供给部44的气体的供给、分别基于导热气体供给部62、64的导热气体的供给、来自加热器电源HP的电力供给。
以下,连同控制部66的各种控制一起详细说明方法MT的各工序。以下,再次参照图1。另外,在以下的说明中,参照图4、图5以及图6。图4、图5以及图6是表示在执行一实施方式的蚀刻方法的各工序之后的状态下的被处理体的剖视图。
如图1所示,方法MT是自工序ST1开始。在工序ST1中,通过使第1区域106变质而形成变质区域。具体而言,在工序ST1中,将晶圆W暴露在含有氢、氮以及氟的气体的等离子体中。在工序ST1中使用的气体是所述第1气体,能够为例如H2气体、N2气体以及NF3气体这三者的混合气体。另外,第1气体能够含有Ar气体这样的稀有气体。当将晶圆W暴露在第1气体的等离子体中时,构成第1区域106的氧化硅变质为氟硅酸铵。由此,如图4的(a)所示,使第1区域106的至少一部分变质为变质区域106a。
在使用等离子体处理装置10进行工序ST1的情况下,控制部66执行第1控制。具体而言,控制部66控制气体供给机构44以供给第1气体。另外,为了将第1气体激励,控制部66控制高频电源3以向喷头38供给高频电力。
在工序ST1中,将处理容器12内的压力设定为例如400mTorr~600mTorr(53.33Pa~79.99Pa)的压力。另外,将自高频电源35供给的高频电力设定为例如800W~1200W之间的电力。另外,在工序ST1中,也可以不自高频电源32供给高频偏置电力。另外,将第1气体中的NF3气体和H2气体的分压控制为1:2~1:10的范围内的分压、例如1:2.5的分压。另外,将晶圆W的温度控制在40℃以下的温度、例如0℃的温度。为此,控制部66能够控制等离子体处理装置10的温度调整机构来调整载置台14的温度。
接着,进行方法MT中的工序ST2。在工序ST2中,将变质区域106a去除。在一个例子的工序ST2中,加热晶圆W。在另一个例子的工序ST2中,将晶圆W暴露在非活性气体的等离子体中。如图4的(b)所示,利用该工序ST2来去除变质区域106a。
在使用等离子体处理装置10进行工序ST2的情况下,控制部66执行第2控制。在一个例子中,控制部66通过控制等离子体处理装置10的温度调整机构来调整载置台14的温度。由此,将晶圆W加热。例如,将晶圆W加热至例如60℃~250℃。另外,在该一个例子的第2控制中,也可以向处理容器12内供给N2气体这样的非活性气体。
另外,在第2控制的另一个例子中,控制部66控制气体供给机构44以供给非活性气体。另外,为了将非活性气体激励,控制部66控制高频电源35以向喷头38供给高频电力。另外,控制部66也可以控制高频电源32以供给高频偏置电力。
交替地执行规定次数(例如10次)的所述工序ST1和工序ST2,直至使第2区域104暴露为止。在方法MT的工序ST3中,对工序ST1和工序ST2的执行次数是否满足停止条件、即超过规定次数这样的条件进行判断。在不满足该停止条件的情况下,再次重复进行工序ST1和工序ST2。另一方面,在满足停止条件的情况下,终止执行工序ST1和工序ST2。通过如此交替地执行规定次数的工序ST1和工序ST2,从而如图4的(c)所示那样将第1区域106的一部分去除而使第2区域104暴露。另外,由于在工序ST1和工序ST2中选择性地作用于第1区域106,因此,即使在第2区域104暴露之后,也能够抑制第2区域104的损伤。
接着,在方法MT中,进行工序ST4。在工序ST4中,在第2区域104和第1区域106上形成保护膜。在该工序ST4中,以使形成在第2区域104上的保护膜的厚度厚于形成在第1区域106上的保护膜的厚度的方式对保护膜的形成进行调整。
具体而言,在工序ST4中,将晶圆W暴露在碳氟化合物气体、即含有碳氟化合物的所述第2气体的等离子体中。在该工序ST4中,进行调整而使向载置台14的基台16供给的高频偏置电力成为比在后述的工序ST5中向基台16供给的高频偏置电力小的电力。例如,在工序ST4中,不向基台16供给高频偏置电力。由此,使第2区域104的蚀刻速度变低,从而实质上不对第2区域104进行蚀刻。
另外,在工序ST4中,将晶圆W的温度设定为60℃~250℃的温度。由此,如图5的(a)所示,碳氟化合物系的保护膜PF形成于第2区域104和第1区域106上,第2区域104上的保护膜PF的厚度厚于第1区域106上的保护膜PF的厚度。此外,在超过250℃的温度范围内,成为掩模108的玻化温度,另外,在该温度条件下,形成于第1区域106的保护膜的厚度与第2区域104的保护膜的厚度之差变小。另外,在为低于60℃的温度条件下,形成于第1区域106的保护膜的厚度与第2区域104的保护膜的厚度之差也变小。
在使用等离子体处理装置10进行工序ST4的情况下,控制部66执行第3控制。具体而言,控制部66控制气体供给机构44以供给第2气体。另外,控制部66控制高频电源35以向喷头38供给高频电力。另外,为了使高频偏置电力成为较低的电力,控制部66例如控制高频电源32以不供给高频偏置电力。另外,控制部66通过控制等离子体处理装置10的温度调整机构来调整载置台14的温度。由此,调整晶圆W的温度。
例如,在工序ST4中,将处理容器12内的压力设定为10mTorr~30mTorr(1.333Pa~4Pa)的压力。另外,在工序ST4中,自高频电源35向喷头38供给60MHz且500W~2000W的高频电力。另外,将第2气体中的C4F6气体、Ar气体、O2气体的流量分别设定为15sccm~25sccm的流量、500sccm~600sccm的流量、10sccm~20sccm的流量。另外,工序ST4的处理时间为10秒~20秒。
接着,在方法MT中,进行工序ST5。在工序ST5中,对第1区域106进行蚀刻。在本例子中,对第1区域106的位于相邻的隆起区域102之间的部分进行蚀刻。
具体而言,在工序ST5中,将晶圆W暴露在碳氟化合物气体、即含有碳氟化合物的所述第3气体的等离子体中。在该工序ST5中,向载置台14的基台16供给较高的高频偏置电力。由此,对第1区域106的其上形成有较薄的保护膜PF的部分如图5的(b)所示那样进行蚀刻。
在使用等离子体处理装置10进行工序ST5的情况下,控制部66执行第4控制。具体而言,控制部66控制气体供给机构44以供给第3气体。另外,控制部66控制高频电源35以向喷头38供给高频电力。另外,控制部66控制高频电源32以向基台16供给高频偏置电力。
例如,在工序ST5中,将处理容器12内的压力设定为10mTorr~30mTorr(1.333Pa~4Pa)的压力。另外,在工序ST5中,自高频电源35向喷头38供给60MHz且500W~2000W的高频电力。另外,在工序ST5中,自高频电源32向基台16供给1000W~2000W的高频偏置电力。另外,将第3气体中的C4F6气体、Ar气体、O2气体的流量分别设定为15sccm~25sccm的流量、500sccm~600sccm的流量、10sccm~20sccm的流量。另外,工序ST5的处理时间为10秒~30秒。此外,工序ST5中的晶圆W的温度既可以为与工序ST4中的晶圆W的温度相同的温度,也可以为比工序ST4中的晶圆W的温度低的温度。
在方法MT中,交替地执行规定次数的工序ST4和工序ST5。在方法MT的工序ST6中,对工序ST4和工序ST5的执行次数是否满足停止条件、即超过规定次数这样的条件进行判断。在不满足该停止条件的情况下,再次重复进行工序ST4和工序ST5。另一方面,在满足停止条件的情况下,终止执行工序ST4和工序ST5。通过如此交替地执行规定次数的工序ST4和工序ST5,从而如图5的(c)所示那样将第1区域106的位于相邻的隆起区域102之间的部分蚀刻至某一深度。此外,在图5的(c)中,第1区域106的蚀刻没有到达基底层100,而在工序ST4和工序ST5中,也可以执行第1区域106的蚀刻,直到蚀刻到达基底层100。
通过进行以上的工序ST4和工序ST5,能够抑制第2区域104的刚暴露后不久且没有形成保护膜的部分被削去的现象并对第1区域106进行蚀刻。在执行规定次数的该工序ST4和工序ST5后,在第2区域104上维持有保护膜PF。另外,在含有碳氟化合物的气体的蚀刻中,在第1区域106上形成保护膜的同时对第1区域106进行蚀刻。因而,在执行规定次数的工序ST4和工序ST5之后,能够利用与工序ST5相同的蚀刻来对第1区域106进行蚀刻。由此,能够提高第1区域106的蚀刻速度。
具体而言,方法MT包括工序ST7。在工序ST7中,以与工序ST5相同的条件来对第1区域106的位于相邻的隆起区域102之间的部分进行蚀刻。在使用等离子体处理装置10进行该工序ST7的情况下,控制部66能够执行与第4控制相同的控制。在进行该工序ST7时,如图6的(a)所示,在晶圆W上形成到达基底层100的孔。但是,如图6的(a)所示,在由基底层100和第2区域104围成的角部,有时会残留有由氧化硅构成的残渣106b。
在方法MT中,能够进一步进行工序ST8和工序ST9,以便去除残渣106b。工序ST8是与工序ST1相同的工序,工序ST9是与工序ST2相同的工序。另外,在使用等离子体处理装置10进行工序ST8和工序ST9的情况下,控制部66能够执行所述第1控制和第2控制。
在方法MT中,如图6的(b)所示,能够通过工序ST8使残渣106b变质而形成变质区域106c。另外,如图6的(c)所示,能够通过工序ST9将变质区域106c去除。也可以交替地多次重复进行工序ST8和工序ST9。由此,采用方法MT,能够在相邻的隆起区域102之间自对位地形成孔HL。
以上,说明了几个实施方式,但本发明并不限定于所述实施方式,而能够构成各种变形形态。例如,在所述实施方式中,使用单个等离子体处理装置10执行了方法MT的所有工序,但也可以针对每个工序或者针对每几个工序使用不同的装置。另外,等离子体处理装置10是电容耦合型等离子体处理装置,但也可以使用其他类型的等离子体处理装置。能够使用例如电感耦合型等离子体处理装置、利用微波这样的等离子体源的等离子体处理装置这样的各种等离子体处理装置。
另外,方法MT中的工序ST1、工序ST2、工序ST7、工序ST8、工序ST9是适合于在图2所示的晶圆W上形成孔HL的可选工序。因而,根据晶圆的不同,也可以省略这些工序。例如,在晶圆具有由氧化硅构成的第1区域和由氮化硅构成的第2区域且第1区域和第2区域暴露出的情况下,为了选择性地对该第1区域进行蚀刻,能够实施包括工序ST4和工序ST5的方法。
附图标记说明
10、等离子体处理装置;12、处理容器;14、载置台;16、基台;17、冷却单元;18、静电卡盘;HP、加热器电源;HT、加热器;28、排气装置;32、高频电源;35、高频电源;38、喷头;44、气体供给机构;66、控制部;W、晶圆;104、第2区域;106、第1区域;HL、孔;PF、保护膜。

Claims (13)

1.一种蚀刻方法,其相对于由氮化硅构成的第2区域而对由氧化硅构成的第1区域选择性地进行蚀刻,其中,
该蚀刻方法包括以下工序:
在所述第2区域上形成比形成在所述第1区域上的保护膜厚的保护膜的保护膜形成工序,在该保护膜形成工序中,将具有该第1区域和该第2区域的被处理体暴露在碳氟化合物气体的等离子体中;以及
对所述第1区域进行蚀刻的蚀刻工序,在该蚀刻工序中,将所述被处理体暴露在碳氟化合物气体的等离子体中,
使在形成所述保护膜的所述保护膜形成工序中向用于载置所述被处理体的载置台供给的高频偏置电力小于在对所述第1区域进行蚀刻的所述蚀刻工序中向所述载置台供给的高频偏置电力,
在形成所述保护膜的所述保护膜形成工序中,将所述被处理体的温度设定为60℃~250℃的温度。
2.根据权利要求1所述的蚀刻方法,其中,
将所述第2区域埋入到所述第1区域内,
该蚀刻方法还包括以下工序:
生成含有氢、氮以及氟的气体的等离子体而使所述第1区域变质以形成变质区域的工序;以及
去除所述变质区域的工序,
在通过形成所述变质区域的工序和去除所述变质区域的工序而使所述第2区域暴露之后,进行形成所述保护膜的工序和对所述第1区域进行蚀刻的工序。
3.根据权利要求2所述的蚀刻方法,其中,
在去除所述变质区域的工序中,加热所述被处理体。
4.根据权利要求2所述的蚀刻方法,其中,
在去除所述变质区域的工序中,将所述被处理体暴露在非活性气体的等离子体中。
5.根据权利要求2至4中任一项所述的蚀刻方法,其中,
多次重复形成所述变质区域的工序和去除所述变质区域的工序。
6.根据权利要求1至5中任一项所述的蚀刻方法,其中,
在形成所述保护膜的工序中,作为所述碳氟化合物气体,使用含有C4F6、C4F8以及C6F6中的至少一者的气体。
7.根据权利要求1至6中任一项所述的蚀刻方法,其中,
交替地重复形成所述保护膜的工序和对所述第1区域进行蚀刻的工序。
8.根据权利要求1至7中任一项所述的蚀刻方法,其中,
在形成所述保护膜的工序中,不向其上载置有所述被处理体的载置台供给高频偏置电力。
9.一种等离子体处理装置,其中,
该等离子体处理装置包括:
处理容器;
载置台,其用于在所述处理容器内载置被处理体;
温度调整机构,其用于调整所述载置台的温度;
气体供给部,其用于向所述处理容器内供给含有氢、氮以及氟的第1气体、含有碳氟化合物的第2气体、以及含有碳氟化合物的第3气体;
等离子体生成部,其产生用于将供给至所述处理容器内的气体激励的能量;
电力供给部,其用于向所述载置台供给高频偏置电力;以及
控制部,其用于对所述温度调整机构、所述气体供给部、所述等离子体生成部以及所述电力供给部进行控制,
所述控制部执行如下控制:
第1控制,其该第1控制中,使所述气体供给部供给所述第1气体并使所述等离子体生成部产生能量;
第2控制,在该第2控制中,使所述温度调整机构加热载置台;
第3控制,在该第3控制中,使所述气体供给部供给所述第2气体并使所述等离子体生成部产生能量;以及
第4控制,在该第4控制中,使所述气体供给部供给所述第3气体并使所述等离子体生成部产生能量,
所述控制部控制所述电力供给部,使得在所述第3控制中向所述载置台供给的高频偏置电力小于在所述第4控制中向所述载置台供给的高频偏置电力,
所述控制部控制所述温度调整机构,使得在所述第3控制中所述载置台的温度成为60℃~250℃的温度。
10.一种等离子体处理装置,其中,
该等离子体处理装置包括:
处理容器;
载置台,其用于在所述处理容器内载置被处理体;
温度调整机构,其用于调整所述载置台的温度;
气体供给部,其用于向所述处理容器内供给含有氢、氮以及氟的第1气体、含有碳氟化合物的第2气体、含有碳氟化合物的第3气体、以及非活性气体;
等离子体生成部,其产生用于将供给至所述处理容器内的气体激励的能量;
电力供给部,其用于向所述载置台供给高频偏置电力;以及
控制部,其用于对所述温度调整机构、所述气体供给部、所述等离子体生成部以及所述电力供给部进行控制,
所述控制部执行如下控制:
第1控制,其该第1控制中,使所述气体供给部供给所述第1气体并使所述等离子体生成部产生能量;
第2控制,在该第2控制中,使所述气体供给部供给所述非活性气体并使所述等离子体生成部产生能量;
第3控制,在该第3控制中,使所述气体供给部供给所述第2气体并使所述等离子体生成部产生能量;以及
第4控制,在该第4控制中,使所述气体供给部供给所述第3气体并使所述等离子体生成部产生能量,
所述控制部控制所述电力供给部,使得在所述第3控制中向所述载置台供给的高频偏置电力小于在所述第4控制中向所述载置台供给的高频偏置电力,
所述控制部控制所述温度调整机构,使得在所述第3控制中所述载置台的温度成为60℃~250℃的温度。
11.根据权利要求9或10所述的等离子体处理装置,其中,
所述第2气体含有C4F6、C4F8以及C6F6中的至少一者。
12.根据权利要求9至11中任一项所述的等离子体处理装置,其中,
所述控制部在交替地重复执行所述第1控制和所述第2控制之后,执行所述第3控制和所述第4控制。
13.根据权利要求9至12中任一项所述的等离子体处理装置,其中,
所述控制部交替地重复执行所述第3控制和所述第4控制。
CN201510076454.1A 2014-02-19 2015-02-12 蚀刻方法和等离子体处理装置 Active CN104851794B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014029611A JP6059165B2 (ja) 2014-02-19 2014-02-19 エッチング方法、及びプラズマ処理装置
JP2014-029611 2014-02-19

Publications (2)

Publication Number Publication Date
CN104851794A true CN104851794A (zh) 2015-08-19
CN104851794B CN104851794B (zh) 2018-01-30

Family

ID=52468944

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510076454.1A Active CN104851794B (zh) 2014-02-19 2015-02-12 蚀刻方法和等离子体处理装置

Country Status (6)

Country Link
US (1) US9299579B2 (zh)
EP (1) EP2911186A1 (zh)
JP (1) JP6059165B2 (zh)
KR (1) KR101744625B1 (zh)
CN (1) CN104851794B (zh)
TW (1) TWI642104B (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107275202A (zh) * 2016-04-07 2017-10-20 中芯国际集成电路制造(上海)有限公司 刻蚀方法及半导体结构的形成方法
CN109148326A (zh) * 2017-06-16 2019-01-04 东京毅力科创株式会社 基板处理装置、基板载置机构和基板处理方法
CN109196624A (zh) * 2016-05-19 2019-01-11 东京毅力科创株式会社 蚀刻方法
CN110010465A (zh) * 2017-12-21 2019-07-12 东京毅力科创株式会社 去除方法和处理方法
CN110993499A (zh) * 2019-11-05 2020-04-10 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
CN111725062A (zh) * 2019-03-20 2020-09-29 东京毅力科创株式会社 膜的蚀刻方法和等离子体处理装置
CN113936987A (zh) * 2016-09-15 2022-01-14 东京毅力科创株式会社 等离子体处理装置

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6235981B2 (ja) * 2014-07-01 2017-11-22 東京エレクトロン株式会社 被処理体を処理する方法
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
JP6504827B2 (ja) 2015-01-16 2019-04-24 東京エレクトロン株式会社 エッチング方法
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6524419B2 (ja) * 2016-02-04 2019-06-05 パナソニックIpマネジメント株式会社 素子チップの製造方法
JP6604476B2 (ja) * 2016-03-11 2019-11-13 パナソニックIpマネジメント株式会社 素子チップの製造方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) * 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
TWI754041B (zh) * 2017-04-18 2022-02-01 日商東京威力科創股份有限公司 被處理體之處理方法
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
JP6561093B2 (ja) * 2017-07-24 2019-08-14 東京エレクトロン株式会社 シリコン酸化膜を除去する方法
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
JP6877316B2 (ja) * 2017-11-08 2021-05-26 東京エレクトロン株式会社 エッチング方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
JP7025952B2 (ja) * 2018-02-16 2022-02-25 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
JP6960351B2 (ja) * 2018-02-19 2021-11-05 東京エレクトロン株式会社 処理方法
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020205335A1 (en) * 2019-04-05 2020-10-08 Tokyo Electron Limited Independent control of etching and passivation gas components for highly selective silicon oxide/silicon nitride etching

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6617253B1 (en) * 1999-07-20 2003-09-09 Samsung Electronics Co., Ltd. Plasma etching method using polymer deposition and method of forming contact hole using the plasma etching method
CN101192529A (zh) * 2006-11-29 2008-06-04 东京毅力科创株式会社 电容器电极的制造方法和制造系统以及存储介质
US20080223825A1 (en) * 2007-03-16 2008-09-18 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
US20120064726A1 (en) * 2010-09-15 2012-03-15 Tokyo Electron Limited Plasma etching apparatus, plasma etching method, and semiconductor device manufacturing method

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US6376386B1 (en) * 1997-02-25 2002-04-23 Fujitsu Limited Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas
JP3681533B2 (ja) * 1997-02-25 2005-08-10 富士通株式会社 窒化シリコン層のエッチング方法及び半導体装置の製造方法
KR100605884B1 (ko) * 1998-11-11 2006-08-01 동경 엘렉트론 주식회사 표면 처리 방법 및 장치
JP2000307001A (ja) * 1999-04-22 2000-11-02 Sony Corp 半導体装置の製造方法
US8187486B1 (en) * 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6617253B1 (en) * 1999-07-20 2003-09-09 Samsung Electronics Co., Ltd. Plasma etching method using polymer deposition and method of forming contact hole using the plasma etching method
CN101192529A (zh) * 2006-11-29 2008-06-04 东京毅力科创株式会社 电容器电极的制造方法和制造系统以及存储介质
US20080223825A1 (en) * 2007-03-16 2008-09-18 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
US20120064726A1 (en) * 2010-09-15 2012-03-15 Tokyo Electron Limited Plasma etching apparatus, plasma etching method, and semiconductor device manufacturing method

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107275202B (zh) * 2016-04-07 2020-03-10 中芯国际集成电路制造(上海)有限公司 刻蚀方法及半导体结构的形成方法
CN107275202A (zh) * 2016-04-07 2017-10-20 中芯国际集成电路制造(上海)有限公司 刻蚀方法及半导体结构的形成方法
CN109196624B (zh) * 2016-05-19 2023-10-24 东京毅力科创株式会社 蚀刻方法
CN109196624A (zh) * 2016-05-19 2019-01-11 东京毅力科创株式会社 蚀刻方法
CN113936987A (zh) * 2016-09-15 2022-01-14 东京毅力科创株式会社 等离子体处理装置
CN113936987B (zh) * 2016-09-15 2024-04-16 东京毅力科创株式会社 等离子体处理装置
CN109148326A (zh) * 2017-06-16 2019-01-04 东京毅力科创株式会社 基板处理装置、基板载置机构和基板处理方法
CN109148326B (zh) * 2017-06-16 2022-03-11 东京毅力科创株式会社 基板处理装置、基板载置机构和基板处理方法
CN110010465B (zh) * 2017-12-21 2023-09-05 东京毅力科创株式会社 去除方法和处理方法
CN110010465A (zh) * 2017-12-21 2019-07-12 东京毅力科创株式会社 去除方法和处理方法
CN111725062A (zh) * 2019-03-20 2020-09-29 东京毅力科创株式会社 膜的蚀刻方法和等离子体处理装置
CN111725062B (zh) * 2019-03-20 2024-04-05 东京毅力科创株式会社 膜的蚀刻方法和等离子体处理装置
WO2021088670A1 (zh) * 2019-11-05 2021-05-14 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
CN110993499B (zh) * 2019-11-05 2022-08-16 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
US11948805B2 (en) 2019-11-05 2024-04-02 Beijing Naura Microelectronics Equipment Co., Ltd. Etching method, air-gap dielectric layer, and dynamic random-access memory
CN110993499A (zh) * 2019-11-05 2020-04-10 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器

Also Published As

Publication number Publication date
JP6059165B2 (ja) 2017-01-11
TWI642104B (zh) 2018-11-21
US9299579B2 (en) 2016-03-29
US20150235860A1 (en) 2015-08-20
JP2015154047A (ja) 2015-08-24
KR20150098197A (ko) 2015-08-27
CN104851794B (zh) 2018-01-30
TW201535517A (zh) 2015-09-16
EP2911186A1 (en) 2015-08-26
KR101744625B1 (ko) 2017-06-08

Similar Documents

Publication Publication Date Title
CN104851794A (zh) 蚀刻方法和等离子体处理装置
CN104867827B (zh) 蚀刻方法
CN109427576B (zh) 蚀刻方法
CN105244372B (zh) 对被处理体进行处理的方法
KR102435288B1 (ko) 에칭 방법
US9230824B2 (en) Method of manufacturing semiconductor device
CN105097497A (zh) 蚀刻方法
CN107039229A (zh) 蚀刻方法
CN109559968B (zh) 等离子体处理装置
CN104303273B (zh) 蚀刻方法和等离子体处理装置
JP7366188B2 (ja) 電源システム
JP2019061849A (ja) プラズマ処理方法
JP2016136617A (ja) シリコンのエッチングおよびクリーニング
TWI685014B (zh) 蝕刻方法及蝕刻裝置
CN105810582A (zh) 蚀刻方法
JP7101096B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP6239365B2 (ja) シリコン層をエッチングする方法
CN105719930B (zh) 等离子体蚀刻方法
JP6877316B2 (ja) エッチング方法
CN104471686A (zh) 等离子体蚀刻方法和等离子体蚀刻装置
CN105810581A (zh) 蚀刻方法
KR102674205B1 (ko) 기판 처리 장치 및 기판 처리 방법
CN105810579A (zh) 蚀刻方法
TW201709263A (zh) 用以等向性蝕刻緊密空間中的矽之無殘留物的系統及方法
TW202135125A (zh) 具有多個電漿單元的處理腔室

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant