CN104051336A - 用于在半导体装置中产生互连的方法 - Google Patents

用于在半导体装置中产生互连的方法 Download PDF

Info

Publication number
CN104051336A
CN104051336A CN201410099406.XA CN201410099406A CN104051336A CN 104051336 A CN104051336 A CN 104051336A CN 201410099406 A CN201410099406 A CN 201410099406A CN 104051336 A CN104051336 A CN 104051336A
Authority
CN
China
Prior art keywords
metal
alloy
layer
feature structure
copper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410099406.XA
Other languages
English (en)
Other versions
CN104051336B (zh
Inventor
伊斯梅尔·T·埃迈什
罗伊·沙维夫
梅于尔·奈克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201810331694.5A priority Critical patent/CN108695244B/zh
Publication of CN104051336A publication Critical patent/CN104051336A/zh
Application granted granted Critical
Publication of CN104051336B publication Critical patent/CN104051336B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一种用于在工件上产生互连的方法,所述方法包括获得具有特征结构的工件基板,在所述特征结构中沉积导电层以部分地或完全地填充所述特征结构,如果所述特征结构由所述导电层部分地填充则沉积铜填充物以完全地填充所述特征结构,施加铜覆盖物,热处理所述工件,以及移除所述覆盖物以暴露所述基板和金属化的特征结构。

Description

用于在半导体装置中产生互连的方法
技术领域
用于制造半导体装置的方法。
背景技术
本公开内容涉及在半导体装置中产生互连(interconnect)的方法。这些互连可由选择的金属和金属合金组成,所述金属和金属合金通过电镀和后面的热扩散被沉积在工件特征结构(feature)中。这样的互连可包括置于沟槽(trench)或过孔(via)之上的选择性金属帽(cap)。这些互连还可包括在过孔之上电镀蚀刻终止(etch stop)物以构建对准容差(alignment-tolerant)过孔。
集成电路(IC)包括各种半导体装置,所述半导体装置形成在覆盖基板的介电材料层内或介电材料层上。可在介电层中或介电层上形成的这样的装置包括MRS晶体管、双极晶体管、二极管和扩散电阻器。可在介电材料中或介电材料上形成的其他装置包括薄膜电阻器和电容器。金属线将所述半导体装置互连以驱动(power)这样的装置并且使这样的装置能够共享和交换信息。这样的互连在介电层内的各装置之间水平延伸,也在各介电层之间垂直延伸。这些金属线通过一系列互连彼此连接。电互连或金属线首先被图案化到介电层中以形成垂直的和水平的凹槽化(recessed)特征结构(过孔和沟槽),所述凹槽化特征结构随后被填充有金属。包含金属填充线、存在于电介质中的所得层被称为金属化层。
接着,第二金属化层类似地形成在第一金属化层的顶上并且在这两个金属化层之间形成互连。可用这种工艺形成包含几个金属化层的堆叠,所述几个金属化层通过多个互连彼此电连接。这种工艺被称为镶嵌(Damascene)处理。镶嵌处理通常采用铜(Cu)作为金属化金属。然而,也可使用其他金属,包括铝(Al)、钴(Co)、镍(Ni)、金(Au)、银(Ag)、锰(Mn)、锡(Sn)和上述金属的合金。
例如由铜形成金属互连或金属线的典型工艺需要几个步骤。最初,在介电基板中图案化和形成垂直特征结构和水平特征结构(过孔和沟槽)。最后用铜填充过孔和沟槽,但是预先将阻挡层和种晶(seed)层施加到所述特征结构。因为铜倾向于扩散进入介电材料中,所以用阻挡层把铜沉积物与介电材料隔离。铜扩散进入周围的介电材料会导致线间泄露(line-to-line leakage)以及半导体装置的最后破坏。因此,通常用扩散阻挡物把铜线完全包围或封装起来。然而,如果将其他金属用于金属化,可以理解的是,阻挡层可以不需要。阻挡层通常由耐火金属或耐火化合物制成,例如钛(Ti)、钽(Ta)、氮化钛(TiN)、氮化钽(TaN)等。通常使用称为物理气相沉积(PVD)的沉积技术形成阻挡层,但也可使用诸如化学气相沉积(CVD)或原子层沉积(ALD)之类的其他沉积技术形成阻挡层。
种晶层可沉积于阻挡层上。种晶层的目的可以是:提供低电阻电气路径,所述低电阻电气路径使阻挡层之上的电镀能够更均匀;和/或帮助铜或其他沟槽或过孔材料很好地附着于阻挡层,从而提供连续的可电镀膜以在上面电镀。因此,种晶层可由铜或者诸如铜锰、铜钴或铜镍之类的铜合金组成。种晶层也可由铝或铝合金组成。此外,对于沉积种晶层,存在多种选择,诸如使用PVD用于铜种晶层沉积。也可通过使用诸如CVD或ALD之类的其他沉积技术形成种晶层。
种晶层可以是堆叠膜,例如衬垫(liner)层和PVD种晶层。衬垫层是用在阻挡层上的材料或用在阻挡层与PVD种晶层之间的材料,以减轻不连续的种晶问题并改善PVD种晶对阻挡层的粘附。衬垫层通常由诸如钌(Ru)、铂(Pt)、钯(Pd)和锇(Os)之类的贵金属组成。衬垫也可由Co或Ni组成。目前,CVD Ru和CVD Co通常被用于构建衬垫;然而,也可通过使用其他沉积技术(包括ALD或PVD)形成衬垫层。
种晶层也可以是次级种晶(secondary seed)层,与衬垫层类似,次级种晶层通常由诸如Ru、Pt、Pd或Os之类的贵金属形成。然而,也可使用其他材料,包括Co和Ni,而且通常也使用CVD Ru和CVD Co。像在种晶层和衬垫层的情形中一样,也可使用ALD、PVD或其他沉积技术形成次级种晶层。次级种晶层与衬垫层的不同之处在于次级种晶层实际上充当种晶层,而衬垫层是阻挡层与PVD种晶层之间的中间层。
在已沉积种晶层之后,可使用例如酸沉积化学物(acid deposition chemistry,“ECD”)条件下的电化学沉积来用铜填充所述特征结构。传统的ECD铜酸化学物(acid chemistry)可以包括例如硫酸铜、硫酸(sulfuric acid)、盐酸和有机添加剂(诸如促进剂(accelerator)、抑制剂(suppressor)和平衡剂(leveler))。铜的电化学沉积已被发现是一种用来沉积铜金属化层的具成本效益的方式。除了在经济上是可行的,ECD技术提供了实质上“自下而上(bottom up)”(例如,非共形的(noncomformal))的金属填充,所述金属填充在机械方面和电学方面适合于互连结构。
在IC技术的改进中长期以来的目标一直是IC尺寸的缩小。IC尺寸的这种缩小对获得更高速性能的IC而言是关键的。IC性能的提高通常伴随有装置面积的减小和/或装置密度的增大。装置密度的增大要求用于形成互连的过孔和沟槽尺寸(宽度)减小。然而,随着晶片上的特征结构尺寸减小,有可能要承受负面的结果。例如,尺寸减小的特征结构可导致较不可靠的互连。
传统的用以产生互连的铜填充可导致空隙(void),尤其是在尺寸小于30nm的特征结构中导致空隙。作为使用传统铜沉积形成的一种类型的空隙的一个实例,所述特征结构的开口可能夹断(pinch off)。在小特征结构中使用传统的铜填充工艺也可导致其他类型的空隙。使用传统的铜填充技术形成的沉积物的其他固有特性和这样的空隙可增加互连的电阻,从而使装置的电性能衰退以及降低铜互连的可靠性。
互连的不断缩小的进一步结果是电迁移损坏(electromigration failure)。电迁移使互连中的铜重新分布并产生能够扩展进入介电空间的挤出(extrusion)。通常,当电路运行时,在导电线的金属原子经受高电流密度时发生电迁移。如果电流密度足够高,则金属原子沿电子流动的方向迁移,从而在金属离子已经离开的地方形成空隙,以及形成由沿金属互连的长度伸出到金属或者介电阻挡层之外的金属材料构成的挤出。空隙将导致铜互连变薄并最终完全分离,导致断路。此外,挤出可导致铜金属延伸超过铜互连并进入邻近的铜线,从而导致短路。
随着集成电路的日益小型化,因电迁移产生的互连损坏的可能性随着铜互连而增加,因为损坏是由较小的空隙导致的。这就需要对电迁移损坏的补救。
一旦空隙开始在金属线中出现,导电金属就在那个点变得更窄。由于导体截面的减小,通过该线的电流密度在变窄位置处增加。因此,由于焦耳热(Jouleheating)的缘故,互连温度提高。随着互连的温度上升,空隙的生长加速,导致恶性循环,所述恶性循环最终导致断路。
减小或最小化电迁移的一种解决方案是在铜填充物之上施加金属帽。然而,产生金属帽的工艺可能是费时的并且昂贵的。或许更重要的是,在现有的用于产生金属帽的方法中,金属残留物可能留下,在各金属线之间延伸,最终导致短路或其他损坏发生。
在形成金属帽的一种方法中,在金属衬垫已被沉积于特征结构的侧壁和底表面上之后,金属层被电镀到金属衬垫上以用例如铜填充所述特征结构。通常,金属层覆盖其中存在特征结构的介电层。因此,需要平坦化金属衬垫以与介电表面的顶层是同延的(coextensive)。这可由例如化学机械抛光(CMP)进行。结果,金属层的顶表面于是就实质上与介电层顶表面是共面的(coplanar)。
接着,通过对金属衬垫和介电层有选择性的蚀刻工艺,使金属线凹至介电层顶表面的水准以下。以这种方式,相对于从金属线的表面移除材料的量,移除金属衬垫和介电层的量是微不足道的。接着,在金属线的凹槽化表面之上以及金属衬垫的侧壁的顶部边缘之上和介电层顶表面之上沉积帽层。通常,帽层的厚度从约5nm至约100nm,但更常见的从约12nm至约50nm。接着,进行进一步的平坦化工艺以使得帽的顶表面与介电层的顶表面是同延的。
在实现用于互连的铜上或其他导体上的金属帽另一种工艺中,在铜以别的方式被镀到或沉积到介电材料中形成的特征结构中之后,晶片例如被CMP处理平坦化。此后接着,在金属覆盖膜(blanket film)之上形成另外的薄介电帽。接着,在所述薄介电帽之上沉积光刻胶涂层,并且使用光刻(lithographic)掩模执行光刻曝光工艺。以这种方式,各铜线之间的Ta/TaN金属帽被蚀刻掉,使金属帽只留在铜线之上。然而,在这个工艺中,常常可能在各铜线之间留下残留物,最终可能导致使各线短路或其他可靠性问题,尤其是当各线变得越来越薄时。
当然,解决铜金属化的缺陷的一种方式是使用铜合金或除铜以外的金属,例如Co、Ni、Mn、Sn、Au、Ag、Al或上述金属的合金。像镀铜一样,在小特征结构中镀或以别的方式沉积这些金属可由于包括空隙的缺陷的形成而导致品质低劣的互连。正如上面提到的,这些空隙可降低半导体电路的性能并且也降低金属互连的可靠性。
增加IC装置的密度的另一个结果是,使避免在各邻近的半导体层中的互连变得更加困难。因而,当定位用于互连的半导体装置和/或特征结构时,很难避免在先有的层上的互连。如果对准容差过孔能被结合到互连中,这将会是期望的。
本发明意在解决上述问题,而且对用在半导体制造中的互连提供改进的性能和可靠性。
发明内容
提供本发明内容用来以简化的形式介绍一些选择的构思,这些构思将在以下在具体实施方式中进一步描述。本发明内容并不意在标识出所要求保护的主题的关键特征,也不意在用作确定所要求保护的主题的范围时的辅助内容。
一种用于在工件中形成互连的方法包括:获得其中具有特征结构的工件基板,在所述特征结构中沉积金属导电层以部分地或完全地填充所述特征结构,如果所述特征结构被所述导电层部分地填充则沉积金属填充物以完成所述特征结构的填充,施加铜或其他金属覆盖物,对所述工件进行退火,然后使用CMP移除所述覆盖物并减小工件的高度以暴露所述基板和金属化的特征结构。
根据本公开内容的另一个方面,用于所述导电层的金属选自由铜、钴、镍、金、银、锰、锡、铝和上述金属的合金组成的组。
在本公开内容的另一个方面中,所述导电层部分地填充所述特征结构,然后在施加铜或其他金属覆盖物之前,金属合金被沉积以进一步部分地填充或完全填充所述特征结构。
在本公开内容的另一个方面中,对工件的退火以受控的方式进行以限制合金材料到所述特征结构的上部的扩散。
根据本公开内容的另一个方面,进行对工件的退火以使金属层的合金均匀地扩散遍及整个特征结构。
在本公开内容的另一个方面中,对工件热处理以引起导电层回流到所述特征结构中,以构建共形的导电层。
在另一个方面中,本公开内容提供一种用于填充工件中特征结构的方法,所述方法包括:获得包括用于互连的特征结构的工件基板,在所述特征结构中沉积金属导电层以部分地或完全地填充所述特征结构,如果所述特征结构被所述金属导电层部分地填充则沉积金属填充物,将金属覆盖物施加到所述工件上,包括覆盖所述特征结构,在用以退火所述工件的条件下热处理所述工件,以及使用CMP移除所述覆盖物并暴露所述基板的上表面。
根据本公开内容的另一个方面,所述导电层部分地填充所述特征结构,然后在施加所述金属填充物或金属覆盖物之前,沉积金属合金以进一步部分地填充或完全填充所述特征结构。
在本公开内容的另一个方面中,所述金属合金是蚀刻终止材料。
在本公开内容的另一个方面中,金属合金选自由铜合金、钴合金、镍合金、金合金、银合金、锰合金、锡合金和铝合金组成的组。
附图说明
当结合附图时,随着本发明的上述方面和许多相关的优点通过参照以下的具体实施方式变得被更好地理解,本发明的上述方面和许多相关的优点变得更容易领悟,其中:
图1是绘示本公开内容的示例性实施方式的初始工艺步骤和示例性特征结构形成的示意性流程图;
图2是可与现有技术工艺和根据本公开内容的实施方式的工艺结合使用的示例性初始工艺步骤的比较图表;
图3是绘示使用现有技术主镶嵌工艺(包括阻挡层沉积和种晶沉积)的初始工艺步骤和示例性特征结构形成的示意性工艺图;
图4是绘示使用现有技术SLE(也称为ECD种晶)工艺(包括阻挡层沉积、种晶沉积和ECD种晶沉积)的初始工艺步骤和示例性特征结构形成的示意性工艺图;
图5是绘示使用现有技术ECD种晶工艺(包括阻挡层沉积、次级种晶沉积和ECD种晶沉积)的初始工艺步骤和示例性特征结构形成的示意性工艺图;
图6是绘示使用现有技术在次级种晶上沉积有快闪(flash)层工艺(包括阻挡层沉积、次级种晶沉积和快闪沉积)的初始工艺步骤和示例性特征结构形成的示意性工艺图;
图7是绘示本公开内容的一些示例性实施方式的工艺步骤和示例性特征结构形成的示意性工艺图,结合有ECD种晶“外加(plus)”工艺;
图8是绘示图1-7所示的初始步骤的后续工艺步骤的示意性工艺图,其中本工艺用于将选择的金属帽施加至双镶嵌结构;
图9是与图8所示相似的示意性工艺图,图示了青铜(bronze)或其他合金互连的沉积;
图10是绘示本公开内容的另一种方法的示意性工艺图,绘示了用于钴或钴合金互连的沉积的工艺顺序(process sequence);
图11A-11G是与图8-10类似的示意性工艺图,但能应用于涉及对准容差过孔的构建的单镶嵌结构;和
图12是图示本公开内容在产生覆盖有另外的金属化层的对准容差过孔方面的方法的结果的结构示意图。
具体实施方式
本公开内容的实施方式涉及诸如半导体晶片之类的工件、用于处理工件的装置或处理组件、以及处理工件的方法。术语工件、晶片和半导体晶片是指任何平的介质或物件,包括半导体晶片和其他基板或晶片、玻璃、掩模和光学介质或存储介质、MEMS基板、或任何其他具有微电装置、微机械装置或微电子机械装置的工件。
这里描述的工艺是用于在包括沟槽和过孔的工件的特征结构中产生互连。在本公开内容的一个实施方式中,所述工艺可用于产生小特征结构互连,例如,具有小于30nm的宽度或直径的特征结构。然而,应理解的是,本公开内容的工艺能应用于任何特征结构尺寸。本申请中所讨论的尺寸大小是在所述特征结构的顶部开口处的蚀刻后(post-etch)特征结构尺寸。这里描述的工艺可应用于例如镶嵌应用(单镶嵌应用和双镶嵌应用二者)中各种形式的铜、钴、镍、金、银、锰、锡、铝和合金沉积。在本公开内容的实施方式中,镶嵌特征结构可选自由具有以下尺寸的特征结构组成的组:小于30nm,约5nm至小于30nm,约10nm至小于30nm,约15nm至约20nm,约20nm至小于30nm,小于20nm,小于10nm,以及约5nm至约10nm。
应理解的是,此处使用的描述性术语“微特征结构工件”和“工件”包括在处理中已被先前沉积并在给定点形成的所有结构和层,并不仅仅限于那些附图中所示的结构和层。
在本申请中,尽管通常描述为金属沉积,应理解的是术语“金属”也考虑金属合金。这样的金属和金属合金可用于形成种晶层或用于完全地或部分地填充所述特征结构。示例性的铜合金可包括但不限于铜锰合金和铜铝合金。作为非限制性的实例,合金组成比率(alloy composition ratio)可以在以下范围内:与主要合金金属(例如Cu,Co,Ni,Ag,Au,Mn,Sn或Al)相比,约0.5%至约6%的次要合金金属。
如上所述,金属互连的传统制造方法可包括:将阻挡层适当沉积在介电材料上以防止金属扩散到介电材料中。适当的阻挡层可包括例如Ta、Ti、TiN、TaN、Mn或MnN。适当的阻挡层沉积方法可包括PVD、ALD和CVD;然而,PVD是用于阻挡层沉积的最常用的工艺。阻挡层通常被用于将铜或铜合金与介电材料隔离;然而,应理解的是,在其他金属互连的情形下,扩散可能不是问题,因而可以不需要阻挡层。
阻挡层沉积之后可以是可选择的种晶层沉积。在特征结构中沉积金属的情形下,对于种晶层有几种选择。如上所述,种晶层可以是:(1)种晶层(作为非限制性实例,PVD铜种晶层),(2)由衬垫层和种晶层组成的堆叠膜(作为非限制性实例,CVD Ru衬垫层和PVD铜种晶层),或(3)次级种晶层(作为非限制性实例,CVD或ALD Ru次级种晶层)。然而,应理解的是,本公开内容也考虑到沉积这些示例性种晶层的其他方法。
种晶层可以是金属层,诸如铜、钴、镍、金、银、锰、锡、铝、钌和上述金属的合金。
如上所述,衬垫层是用作可替代种晶的一种材料或用于帮助减轻不连续的种晶问题并改善种晶层的粘附。衬垫通常是诸如Ru、Pt、Pd和Os之类的贵金属,但此清单可以还包括Co和Ni。目前,CVD Ru和CVD Co是常用的衬垫;然而,也可通过使用诸如PVD或ALD之类的其他沉积技术形成衬垫层。对于镶嵌应用,衬垫层的厚度可以在大约5埃()至50埃的范围内。
同样如上所述,次级种晶层与衬垫层类似的是,通常由诸如Ru、Pt、Pd和Os之类的贵金属形成,但此清单可以还包括Co和Ni,而且也常用CVD Ru和CVD Co。不同之处在于次级种晶层充当种晶层,而衬垫层是阻挡层与种晶层之间的中间层。也可通过使用除CVD之外的诸如PVD或ALD之类的沉积技术形成次级种晶层。
可在组成气体(forming gas)环境(例如,氮中3-5%氢或氦中3-5%氢)下、在约100℃至约500℃的温度下对衬垫或次级种晶沉积物进行热处理或退火,以移除任何表面氧化物、使次级种晶或衬垫层密实(densify)、以及提高所述沉积物的表面特性。衬垫或次级种晶沉积物可另外通过浸在气态氮(N2气体)中或其他钝化(passifying)环境中被钝化,以防止表面氧化。发布于2013年1月22日的美国专利第8357599号中描述了衬垫或次级种晶的钝化,通过引用将所述专利的公开内容的整体明确结合在此。
在已沉积种晶层之后(诸如以下的非限制性实例之一:PVD铜种晶,包括CVD Ru衬垫、或CVD Ru次级种晶的PVD铜种晶,或者另一种沉积金属或金属合金、层结合、或沉积技术),所述特征结构可包括种晶层之后的共形的金属层。然而,应理解的是,共形的金属层可直接沉积到阻挡层上,即,没有种晶层。
在本公开内容的一个实施方式中,使用ECD种晶工艺来沉积共形的金属层,然后可使用被称为ECD种晶“外加”沉积(或ECD种晶“外加”)的工艺来修正(modify)所述共形的金属层,所述ECD种晶“外加”沉积工艺包括热处理步骤。在本公开内容的其他实施方式中,可使用CVD、ALD或其他沉积技术来沉积共形的金属层。根据本公开内容的实施方式,当经受热处理或退火时,该共形的层是能流动的。
在本实施方式中,ECD种晶“外加”通常是指ECD金属种晶沉积外加热处理步骤,诸如退火步骤。在本公开内容的一个实施方式中,热处理步骤可导致一些或所有的种晶沉积回流。与传统的ECD金属填充(使用酸化学物)相比,ECD种晶“外加”沉积类似于ECD种晶沉积(使用碱性化学物(basicchemistry)),但增加了热处理步骤。此外,可进行ECD种晶“外加”以便部分地或完全地填充特征结构,而不是仅仅沉积种晶层。使用ECD种晶“外加”工艺,可实现小特征结构的实质上无空隙的填充。在美国临时申请第61/638851号和第61/638856号中描述了ECD种晶“外加”工艺,通过引用将所述美国临时申请结合在此,并且所述美国临时申请对应于美国专利申请第13/801786号和第13/801860号,同样通过引用将所述美国专利申请结合在此。
用于ECD种晶“外加”沉积的ECD腔室中使用的化学物可包括碱性化学物,例如,pH值在约8至约10的范围内的Cu(乙二胺)2,在本公开内容的一个实施方式中pH值约为9.3。然而,应理解的是,使用适当的有机添加剂的酸性化学物(acidic chemistry)也可用于实现共形的ECD种晶沉积。
在ECD种晶沉积之后,工件就可经受旋转(spin)、清洗和干燥(SRD)工艺处理或其他清洁工艺处理。然后在一温度下加热ECD种晶,所述温度热得足以使种晶回流,但不过热而使得工件或工件上的元件损坏或劣化。例如,所述温度可在用于所述特征结构中种晶回流的约100℃至约500℃的范围内。适当的热处理或退火温度在约100℃至约500℃的范围内,并且可由能够保持约200℃至约400℃范围内(至少在约250℃至约350℃的范围内)的持续温度的设备来完成。
可使用组成气体或惰性气体或诸如氨(NH3)之类的还原气体来执行热处理或退火工艺。在回流期间,沉积的形状改变,使得金属沉积物可淤积(pool)在特征结构的底部中。除了在热处理工艺期间回流,金属沉积物还可生长更大的晶粒(grain)以及减小薄膜电阻率(film resistivity)。可使用惰性气体来冷却加热之后的工件。
可重复ECD种晶沉积和回流步骤,以确保用ECD种晶填充特征结构的期望程度范围。就此而言,在此所描述的工艺可包括一个或更多个ECD种晶沉积、清洁(诸如SRD)和热处理循环。
图1示出回流工艺100,且绘示出由该回流工艺构建的示例性特征结构。在一示例性实施方式中,工件112可以是结晶硅基板上的介电材料,工件112包含至少一个特征结构122。在示例性步骤102中,特征结构122被衬以阻挡层114,然后被衬以种晶层115。在示例性步骤104中,工件112的特征结构122已在种晶层115上接收一层ECD种晶材料116。在示例性退火步骤106中,在合适的温度下对工件进行退火以引起示例性回流步骤108,以促进部分填充。在退火步骤过程中,ECD种晶材料116流入特征结构122中以形成填充部118。在示例性实施方式中,可重复ECD种晶沉积步骤104、退火步骤106和回流步骤108以达到填充部118的期望特性。重复步骤的数目可取决于结构。一旦填充部118达到期望的尺寸,就执行本工艺的剩余步骤,如下文所述。
图2是可与本公开内容一起使用的初始、以前开发的工艺的各种组合的图表。以前开发的工艺的一些组合包括以下内容。第一,主镶嵌(Main Damascene)工艺包括阻挡层和种晶层的沉积(参见图3)。第二,ECD种晶(亦称为SLE)工艺包括阻挡层、种晶层和ECD种晶层的沉积(参见图4)。第三,具有衬垫的ECD种晶(SLE)工艺包括阻挡层、衬垫层、种晶层和ECD种晶层的沉积(参见图5)。第四,具有次级种晶的ECD种晶(SLE)工艺包括阻挡层、次级种晶层和ECD种晶层的沉积。第五,具有次级种晶和快闪的ECD种晶(SLE)工艺包括阻挡层、次级种晶层、快闪层和ECD种晶层的沉积(参见图6)。第六,ECD种晶(亦称为DOB)工艺包括阻挡层和ECD种晶层的沉积。
根据本公开内容的实施方式的其他先前存在的工艺包括:第七,命名为ECD种晶外加(DOB)工艺的工艺,所述ECD种晶外加(DOB)工艺包括阻挡层和ECD种晶“外加”层的沉积。第八,ECD种晶外加工艺包括阻挡层、次级种晶层和ECD种晶“外加”层的沉积。第九,不具有次级种晶的ECD种晶外加工艺包括阻挡层、种晶层和ECD种晶“外加”层的沉积(参见图7)。第十,具有衬垫和种晶的ECD种晶外加工艺包括阻挡层、衬垫层、种晶层和ECD种晶“外加”层的沉积。
图8中示出应用于双镶嵌应用时的本公开内容的一个实施方式。如上文提到的且如图8所示,本公开内容的工艺200开始于步骤202,工件204具有在结晶硅晶片(未图示)上的电介质206,如上所述,工件204已被处理到呈现已在步骤202中被镀有阻挡层210的特征结构208的步骤点(point),特征结构208之后被镀有用上文所述的方式施加的种晶层212和/或可镀膜(参见图8)。在该工艺的下一步骤214中,用铜216或其他金属部分地填充特征结构208。能使用上文描述的ECD种晶“外加”工艺执行此步骤214,步骤214包括施加一层ECD种晶材料218至种晶层212,然后执行热处理。此退火步骤引起铜或其他金属回流进入特征结构208中以形成部分填充部。能重复ECD种晶沉积步骤、退火步骤和回流步骤以达到填充物216的期望特性。这样的步骤被重复的次数可取决于所期望的结构。
或者,通过使用传统酸化学物的ECD镀(ECD plating),能部分地填充特征结构208,所述ECD镀通常是比使用ECD种晶更快的工艺。当然,也可使用其他工艺来代替铜镀,例如,PVD或CVD。
接着,在步骤220,在部分铜(金属)填充物之上施加铜合金(或其他金属合金)层222,从而构建层叠的铜结构,如图8所示。可通过镀或其他沉积技术来施加此铜合金层。图8示出该铜合金层相对较薄且未填充特征结构208至电介质206的上表面。然而,能镀或用其他方式沉积该合金以致特征结构208被完全填充,而且甚至以致铜合金222在电介质上形成覆盖物,并且另外覆盖工件204且可能覆盖整个工件。因此,能以各种厚度施加铜合金。被认为可取的是铜合金的最小厚度会是约
能使用碱性化学物执行铜合金的镀。形成合金或掺杂元素可由任何帮助减少电迁移的过渡金属或贵金属组成。这样的合金可包括Ag、Au、Co、Ni、Hf、Mn、Pd、Pt、Ti、Zi或Zr,或被本领域技术人员熟知的其他金属。亦能使用其他掺杂元素,比如Al、Ge、S、Se、Si、Sn和Te。
多于一个铜合金层将被利用,这也在本公开内容的范围之内。例如,第一层可由第一铜合金构成,随后是另一铜合金的第二层。并且,铜能与多于一种掺杂剂一起形成合金。例如,(这些)铜合金能由Co和AG、Co和Au、Co和Ti等构成。
能通过除电镀之外的各种技术沉积金属层222。这样的技术可包括PVD、CVD或ALD沉积技术。此外,(这些)金属层的总厚度可以是小于,且能薄如
该工艺中的下一步骤224是施加铜以填充特征结构208和构建覆盖层226,如图8所示。尽管铜是优选的金属化材料,但也能使用其他金属,例如,Co、Ni、Au、Ag、Mn、Sn、W和Al。虽然用于施加金属填充物和覆盖层226的一种方法是通过电镀,但也能使用其他金属化技术,比如CVD或PVD。能以从200nm至1000nm的不同厚度施加铜覆盖物。此厚度为CMP工艺提供基础,如下文所述。
本公开内容的该工艺中的下一步骤228是对结构进行退火。该退火工艺具有几个效果,包括合金从层222受控扩散到位于特征结构208中下面的铜填充物216的相邻顶部中。
在足够高的温度下执行退火以引起合金迁移或扩散,但不会太热以致工件或工件上的元件可被损坏或劣化。在这点上,为了发生成功的退火,温度范围可以从约100℃至约400℃。通过使用炉或其他能够在期望范围内保持持续的温度的设备来执行退火。能理解的是,退火工艺的温度及持续时间可取决于铜合金的组分和期望的合金扩散程度。
可使用组成气体或惰性气体、纯氢、或诸如氨(NH3)之类的还原气体来执行退火工艺。在退火期间,热能量帮助层222中的合金金属与铜填充物216的相邻部分中的铜原子化学键合(chemical bond)。在退火工艺的结尾,惰性气体可被用于冷却加热后的工件。经退火的工件能改变合金层222的电特性及其他特性。
如图8所示,在步骤232中已经执行退火后,使用CMP工序移除覆盖电介质的上表面上面的各材料层和铜覆盖物。这留下与电介质206的顶表面236同延的选择性帽234。如上文所指,此帽通过充当分流层(shunt layer)来改善线的电迁移性能。另外,所述帽促进与下一金属化层的粘合,同样提高电迁移性能。
此帽可具有足以执行此帽的改善电迁移性能的功能的厚度。在一个实例中,所述帽可具有从约5nm至1000nm的厚度。
此外,通过执行CMP工艺,没有合金残余物残留在各线之间,这是优于用于生产金属帽的现有方法的明显优点。在帽234之上可施加进一步的金属化层,在这种情况下,通过使用以上工艺来促进金属化层粘合至金属帽。
图9披露了本公开内容的另一实施方式。如图9所示,工艺300开始于步骤302,工件304包括结晶硅晶片(未图示)上的电介质306。如上所述,电介质306已被处理到呈现已在步骤302中首先被镀有阻挡层310的特征结构308的步骤点。随后,能用以上描述的方式在阻挡层310之上施加种晶层312和/或可镀的膜。
此工艺中的下一步骤314是用铜(或其他金属)部分地填充特征结构308,所述铜(或其他金属)被标记为313。能使用以上描述的ECD种晶“外加”工艺实现此部分填充物313,所述ECD种晶“外加”工艺包括施加一层ECD种晶材料至种晶层上,然后执行热处理。此退火步骤引起铜向下回流进入特征结构308中以形成部分填充部。能重复ECD种晶沉积步骤、退火步骤和回流步骤以达到填充物308的期望特性。这样的步骤被重复的次数可取决于所期望的部分填充物308的结构。
在以上针对图8描述的方式下,替代地,可通过使用传统酸化学物的ECD镀来部分地填充特征结构308,所述使用传统酸化学物的ECD镀通常比使用ECD种晶更快,但或许在消除部分填充物中的空隙及其他不连续方面不像那么有效。当然,可使用其他工艺来代替铜镀以获得部分填充物313,例如PVD或CVD。
接着,在步骤320中,铜合金322被镀在或用其他方式沉积在部分铜填充物313之上。此步骤可与以上针对图8描述的形成合金的步骤220相同或非常相似。如以上针对图8所述,可使用各种形成合金的金属或形成合金的金属的组合。另外,多于一个金属合金层可被镀在或沉积在部分填充物之上。
在下一步骤324中,沉积铜(或其他金属)以填充特征结构308且构建覆盖层326,如图9所示。用于施加铜填充物和覆盖层326的一种方法是通过电镀,所述电镀相对于其他沉积方法是比较快且经济的,亦能使用其他沉积方法。
接着,在步骤328中,用以上针对图9描述的方式对工件304进行退火。然而,与图9中不同,此处执行后镀退火(post-plating anneal)以使合金322中的形成合金的元素分布遍及设置在特征结构308中的铜。本质上,构建铜合金(青铜)金属化互连334,其中形成合金的元素实质上均匀地扩散遍及铜填充物。
如上文所指,用于构建铜合金的掺杂元素可包括任何帮助减少电迁移的过渡金属或贵金属。这样的金属在上面列出。除了上面列出的那些金属,形成合金的元素可包括任何青铜形成的元素或青铜形成的元素的组合。在这点上,为了有效地镀青铜膜,需要将铜与另一元素共镀(co-plate)。另外,在本公开内容的一些实施方式中,将两种或更多种元素与铜共镀。为了发生有效的镀,在多数情形中,但不是所有情形中,需要掺杂元素的络合物(complex)。用于CuCo青铜的此种化学物的典型实例利用Co和Cu乙二胺络合物。此类络合物是本领域技术人员所知道的。另外,为了便于控制这些元素的共镀以形成期望的青铜互连,镀液的浓度和pH水平被适当地调整。
在已经完成退火以致形成合金的元素在步骤330中扩散遍及特征结构308后,接着,在步骤332中,使用CMP工序以移除铜覆盖物,以及电介质306上方的所有层,以使得青铜互连334的顶表面与电介质306的顶表面共面。这样的互连334能提供与由上面针对图9描述的选择性帽332提供的优点相同的优点。在这点上,虽然青铜互连的电阻可比铜的电阻稍微有点高,但该互连较小可能遭受电迁移和电迁移的不良影响。
图10披露了本公开内容的另一实施方式,其中钴(Co)被用作互连材料。如图10所示,互连工艺400开始于步骤402,工件404包括结晶硅晶片(未图示)之上的电介质406。电介质406已被处理到呈现特征结构408的步骤点。在第一步骤402中,可选择的阻挡层410能被施加至所述特征结构的表面。该阻挡层可由金属或化合物构成,所述金属或化合物包括例如Mn、MnN、Ti、Ta、TiN、TaN等。能用以上描述的方式(例如,通过CVD)在阻挡层410之上施加种晶层412和/或可镀的膜。该种晶层可由CVD Co或钴合金构成。不使用CVD,亦能使用PVD或ALD或其他沉积技术形成种晶层。
该工艺中的下一步骤414是用钴或钴合金部分地或全部地填充特征结构408,所述钴或钴合金被标记为416。能使用以上描述的ECD种晶“外加”工艺执行此部分或全部填充工艺。此工艺导致无空隙填充,此工艺包括将一层ECD种晶材料施加在种晶层上,然后执行热处理。此退火步骤引起钴416回流进入特征结构408中以形成填充部。如步骤420所示,可重复ECD种晶沉积步骤、退火步骤和回流步骤以达到填充物416的期望特性,执行ECD沉积步骤的次数取决于所期望的填充物416的结构。
应理解的是,此工艺使得能够在薄膜电阻(sheet resistance)最高达1000Ω/□的高薄膜电阻膜上镀钴。
接着,在步骤424中,如果不是已经填充满钴,则沉积铜以填充特征结构408并且构建覆盖层426。如上所述,一种可取的沉积工艺是通过电镀来施加铜填充物和覆盖物,电镀相对于其他沉积方法是相对快且经济的,也能使用其他沉积方法。
接着在步骤428中,用以上针对图8和图9描述的方式对工件进行退火。此热处理的一个主要目的是要在特征结构408中获得均匀的合金材料组分。能用与以上针对图8和图9描述的方式相似或实质上相同的方式执行该退火工艺。
如图10所示,在已经完成退火后,在步骤432中,使用CMP工序来移除铜覆盖物以及电介质406之上的任何材料层,从而留下钴或钴合金互连434。互连434的顶部436与电介质406的顶表面是同延的。如上所指,此互连工序帮助解决覆层(cladding)而且因此帮助减小线电阻问题以及电迁移问题,当铜被用于金属化时,常会出现线电阻问题以及电迁移问题。
图11披露了应用于单镶嵌情形的本方法的另一实施方式。如图11A所示,披露的金属化工艺500开始于由介电层506和507构成的工件504,介电层506和507由UV阻隔层509隔开,介电层506和507、UV阻隔层509都位于结晶硅晶片(未图示)上。如第一步骤502所示,在工件上执行过孔蚀刻以界定过孔508。
在下一步骤514中,如图11B所示,阻挡层510被施加至过孔508。能如以上包括针对图8和图9所述施加阻挡层510。之后,能用以上描述的方式将可镀的种晶层512施加至阻挡层。或者,亦如以上所论述,可镀膜能被施加在阻挡层之上。
亦如步骤514(图11B)所示,利用各种技术,过孔被镀有金属导体513,比如铜或铜合金。可使用本领域所知道的工艺“自下而上填充”或使用ECD或ECD种晶“外加”再填充(refill)工艺来执行这种镀。如上所述,ECD种晶“外加”工艺包括将一层ECD种晶施加在种晶层517上,接着执行热处理。此处理引起铜513或其他金属化金属回流进入过孔508中以执行过孔的部分填充。能重复ECD种晶沉积步骤、退火步骤以及回流步骤以达到过孔508的期望特性。在这点上,这些步骤被重复的次数可取决于期望的填充物的结构。
接着,在步骤520中(图11C),金属(比如Co或Cu)或金属合金522被镀在或用其他方式沉积在过孔中,且亦覆盖ECD种晶外加层。能用非常类似于以上针对图8和图9描述的步骤220和320中的形成合金的方式来执行镀金属522。亦如以上所指,可利用各种形成合金的金属或形成合金的金属的组合。此外,可在ECD种晶外加填充层之上镀或沉积多于一个合金层。
在下一步骤522中(图11D),铜(或其他金属)覆盖物526被镀在合金层522上。如以上所论述,能通过电镀来经济地且相对快速地施加覆盖层526。然而,可使用其他沉积方法来代替。
接着,在选择性步骤528中(图11E),用以上针对图8-19描述的方式对工件504进行退火。退火步骤526导致层522中的合金受控地扩散进入过孔508中铜填充物513的相邻顶部部分中(图11F)。能在以上针对本公开内容的其他实施方式描述的条件下以及用上针对本公开内容的其他实施方式描述的方式执行退火。
如图11G所示,在已经执行退火工序后,在步骤532中使用CMP工序来移除铜覆盖物。除了铜覆盖物,全部其他材料层被移除降至电介质507。这在过孔508之上留下选择性帽534,帽534与单镶嵌介电层507的顶表面536是同延的。如将会理解的的那样,通过使用CMP工艺,在相邻过孔508之间不会留下金属残余物。此外,选择性帽534于是充当用于施加在介电层507之上的下一金属化层的蚀刻终止层。
如图12所示,通过本工艺500形成了对准容差过孔508。即使在覆盖在上面的介电层544中找到的特征结构540和542与过孔508重叠,选择性帽534也保持特征结构540和542与下面的过孔508之间的分隔。如能理解的那样,这使工件能够被生产有对准容差过孔508,从而便于半导体制造和加工工艺。
在图12中,能用与针对图8描述的方式相同的方式或类似的方式执行特征结构540和542的金属化。在这点上,在互连540和542之上形成选择性金属帽546。
本公开内容的各工艺的可替实施方式可包括以上已经描述的各步骤的变型,这些变型也旨在通过为单镶嵌和双镶嵌集成方案(integration scheme)中的过孔之上的自对准(self-aligned)沟槽和自对准过孔保证较宽的工艺窗口(process window)来提高互连的性能与可靠度。如上所指,本公开内容的工艺考虑到在金属线之上设置的自对准选择性金属帽以减少电迁移的发生。另外,本公开内容的实施方式保证了过孔之上的选择性金属帽或蚀刻终止物以不仅通过防止产生“无底过孔(bottomless via)”来有助于电迁移性能,而且使过孔是对准容差的。本公开内容的一些实施方式也包括作为互连线的金属化的部分的金属合金镀和/或层叠金属镀。这样的合金和金属叠层能被选择以改善电迁移性能。
此外,由在此描述的工艺实现的另一优点是能使用单一工具来执行以上描述的工艺步骤,所述单一工具比如为由应用材料公司生产的电化学沉积、清洁(例如,SRD)、以及热处理或退火工具。这些工艺步骤包括ECD种晶沉积步骤(或若重复的话,几次ECD种晶沉积步骤)、清洁步骤(或若重复的话,几次清洁步骤)、热处理步骤(或若重复的话,几次热处理步骤)、以及镀步骤。因此,不必将工件从一个地点移动到另一个地点或从一个机器移动到另一个机器以执行以上描述的工艺的各步骤。
虽然已示出和说明了说明性实施方式,但是将应理解的是,在不背离本发明的精神和范围的情况下,在本发明中能做出各种改变。例如,能使用多电极系统(multi-electrode system)来执行以上所述的材料沉积步骤和工序。这样的系统的示例被陈述于第7351314号、第7351315号以及第8236159号美国专利中,通过援引将这些美国专利并入在此。

Claims (22)

1.一种用于在工件中形成互连的方法,所述方法包括:
(a)获得包括特征结构的工件基板;
(b)在所述特征结构中沉积金属导电层以部分地或完全地填充所述特征结构;
(c)如果所述特征结构被所述金属导电层部分地填充,则沉积金属填充物以完成所述特征结构的填充;
(d)施加铜覆盖物;
(e)在用以退火所述工件的条件下热处理所述工件;和
(f)使用CMP移除所述覆盖物并减小所述工件的高度以暴露所述工件基板和金属化的特征结构。
2.如权利要求1所述的方法,其中所述特征结构包含互连,并且由CMP对所述金属覆盖物的移除暴露了所述工件基板的上表面。
3.如权利要求1或2所述的方法,其中用于所述金属导电层的金属选自由铜、钴、镍、金、银、锰、锡、铝和上述金属的合金组成的组。
4.如权利要求1-3任一项所述的方法,其中所述金属导电层由选自包括电化学沉积、化学气相沉积和原子层沉积的组的方法来沉积。
5.如权利要求1-4任一项所述的方法,其中所述金属导电层使用化学物来沉积,所述化学物包括选自由铜、乙二胺、柠檬酸、酒石酸盐和脲组成的组的至少一种铜络合物。
6.如权利要求1-5任一项所述的方法:
其中所述金属导电层部分地填充所述特征结构;和
进一步包括在施加所述金属填充物和/或铜覆盖物之前,沉积金属合金层以进一步部分地填充或完全地填充所述特征结构。
7.如权利要求6所述的方法,其中所述金属合金层覆盖整个所述工件。
8.如权利要求1-7任一项所述的方法,其中所述金属合金是所述导电层的合金。
9.如权利要求1-8任一项所述的方法,其中所述金属合金层是蚀刻终止材料。
10.如权利要求1-9任一项所述的方法,其中所述金属合金选自由铜合金、钴合金、镍合金、金合金、银合金、锰合金、锡合金和铝合金组成的组。
11.如权利要求1-9任一项所述的方法,其中所述金属合金的形成合金的元素包含过渡金属或贵金属。
12.如权利要求11所述的方法,其中所述形成合金的元素选自由Ag、Al、Au、Co、Ge、Hf、Mn、Pd、Pt、S、Se、Si、Sn、Te、Ti、Zi或Zr组成的组。
13.如权利要求6-12任一项所述的方法,其中对所述工件的所述退火以受控的方式进行以限制所述金属合金的所述合金元素到所述特征结构的上部的扩散。
14.如权利要求6-13任一项所述的方法,其中进行对所述工件的所述退火以使所述导电金属层的所述合金均匀地扩散遍及整个所述特征结构。
15.如权利要求1-14任一项所述的方法,进一步包括热处理所述工件以引起所述金属导电层回流到所述特征结构中,以构建第一共形导电层。
16.如权利要求15所述的方法,进一步包括在所述第一导电共形层之后沉积至少一个附加导电层,以及热处理所述工件以引起每一个附加导电层的回流。
17.如权利要求1-16任一项所述的方法,其中所述金属填充物和/或所述金属覆盖物选自由Cu、Co、Ni、An、Ag、Mn、Sn和Al或上述金属的合金组成的组。
18.如权利要求1-17任一项所述的方法,进一步包括在所述导电层被沉积之前,在所述特征结构中沉积导电种晶层。
19.如权利要求18所述的方法,其中用于所述导电种晶层的金属选自由铜、钴、镍、金、银、锰、锡、铝、钌和上述金属的合金组成的组。
20.如权利要求18或19所述的方法,其中所述导电种晶层选自由种晶、次级种晶、以及种晶与衬垫的堆叠膜组成的组。
21.如权利要求1-20任一项所述的方法,进一步包括在所述金属导电层被沉积之前,在所述特征结构中沉积阻挡层。
22.如权利要求1-21任一项所述的方法,其中所述特征结构的尺寸选自以下尺寸组成的组:小于30nm,约5nm至小于30nm,约10nm至小于30nm,约15nm至约20nm,约20nm至小于30nm,小于20nm,小于10nm,以及约5nm至10nm。
CN201410099406.XA 2013-03-15 2014-03-17 用于在半导体装置中产生互连的方法 Active CN104051336B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201810331694.5A CN108695244B (zh) 2013-03-15 2014-03-17 用于在半导体装置中产生互连的方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361799703P 2013-03-15 2013-03-15
US61/799,703 2013-03-15

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201810331694.5A Division CN108695244B (zh) 2013-03-15 2014-03-17 用于在半导体装置中产生互连的方法

Publications (2)

Publication Number Publication Date
CN104051336A true CN104051336A (zh) 2014-09-17
CN104051336B CN104051336B (zh) 2019-03-08

Family

ID=50280232

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201810331694.5A Active CN108695244B (zh) 2013-03-15 2014-03-17 用于在半导体装置中产生互连的方法
CN201410099406.XA Active CN104051336B (zh) 2013-03-15 2014-03-17 用于在半导体装置中产生互连的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201810331694.5A Active CN108695244B (zh) 2013-03-15 2014-03-17 用于在半导体装置中产生互连的方法

Country Status (5)

Country Link
US (2) US9425092B2 (zh)
EP (1) EP2779224A3 (zh)
KR (1) KR102178622B1 (zh)
CN (2) CN108695244B (zh)
TW (1) TWI598996B (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104615980A (zh) * 2015-01-27 2015-05-13 华进半导体封装先导技术研发中心有限公司 一种指纹识别装置及其制作方法
CN106057730A (zh) * 2015-04-15 2016-10-26 应用材料公司 形成钴或镍互连结构的方法
CN106068549A (zh) * 2013-12-20 2016-11-02 英特尔公司 基于钴的互连及其制造方法
CN106952892A (zh) * 2015-11-05 2017-07-14 三星电子株式会社 半导体器件及其制造方法
CN107004597A (zh) * 2014-12-23 2017-08-01 英特尔公司 解耦过孔填充
CN107170706A (zh) * 2016-03-08 2017-09-15 台湾积体电路制造股份有限公司 半导体装置的形成方法
CN107564851A (zh) * 2016-06-30 2018-01-09 朗姆研究公司 双镶嵌填充
CN108475625A (zh) * 2016-01-08 2018-08-31 应用材料公司 用于集成电路中的小及大特征的钴或镍及铜整合
CN109273403A (zh) * 2018-09-27 2019-01-25 中国电子科技集团公司第五十四研究所 一种tsv填孔方法
EP3418941A4 (en) * 2016-11-07 2019-05-01 Shenzhen Goodix Technology Co., Ltd. DIGITAL FINGER RECOGNIZING MODULE AND DIGITAL FINGER RECOGNITION CHIP CONDITIONING STRUCTURE
CN111211109A (zh) * 2018-11-21 2020-05-29 格芯公司 顶电极互连结构
CN111463168A (zh) * 2019-09-24 2020-07-28 夏泰鑫半导体(青岛)有限公司 金属互连结构及其制备方法
CN112259499A (zh) * 2020-10-20 2021-01-22 长江存储科技有限责任公司 半导体器件及其制作方法

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR102085086B1 (ko) * 2013-10-29 2020-03-05 삼성전자주식회사 반도체 장치 및 그 제조방법
TWI611045B (zh) * 2014-02-03 2018-01-11 東京威力科創股份有限公司 用於無孔隙銅填充之ald阻障層及cvd釕襯墊之整合
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9601430B2 (en) * 2014-10-02 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
JP6385856B2 (ja) * 2015-02-26 2018-09-05 東京エレクトロン株式会社 Cu配線の形成方法および半導体装置の製造方法
KR20160112203A (ko) * 2015-03-18 2016-09-28 삼성전자주식회사 배선 구조물, 배선 구조물 형성 방법 및 반도체 장치의 제조 방법
US11094587B2 (en) 2015-06-03 2021-08-17 Intel Corporation Use of noble metals in the formation of conductive connectors
CN107836034B (zh) * 2015-06-05 2022-07-19 东京毅力科创株式会社 用于互连的钌金属特征部填充
US9472502B1 (en) * 2015-07-14 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Cobalt interconnect techniques
US9741577B2 (en) * 2015-12-02 2017-08-22 International Business Machines Corporation Metal reflow for middle of line contacts
US9721835B2 (en) 2015-12-11 2017-08-01 International Business Machines Corporation Modulating microstructure in interconnects
US10177091B2 (en) * 2016-02-19 2019-01-08 Globalfoundries Inc. Interconnect structure and method of forming
TWI717346B (zh) * 2016-04-13 2021-02-01 大陸商盛美半導體設備(上海)股份有限公司 阻擋層的去除方法和半導體結構的形成方法
US10312181B2 (en) * 2016-05-27 2019-06-04 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US10396012B2 (en) 2016-05-27 2019-08-27 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US9881798B1 (en) * 2016-07-20 2018-01-30 International Business Machines Corporation Metal cap integration by local alloying
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US9852990B1 (en) * 2016-08-17 2017-12-26 International Business Machines Corporation Cobalt first layer advanced metallization for interconnects
US9859215B1 (en) 2016-08-17 2018-01-02 International Business Machines Corporation Formation of advanced interconnects
US9716063B1 (en) * 2016-08-17 2017-07-25 International Business Machines Corporation Cobalt top layer advanced metallization for interconnects
US9941212B2 (en) * 2016-08-17 2018-04-10 International Business Machines Corporation Nitridized ruthenium layer for formation of cobalt interconnects
JP6998945B2 (ja) 2016-10-02 2022-01-18 アプライド マテリアルズ インコーポレイテッド ルテニウムライナーと共に銅のエレクトロマイグレーションを改善するドープされた選択的な金属キャップ
US10049980B1 (en) * 2017-02-10 2018-08-14 International Business Machines Corporation Low resistance seed enhancement spacers for voidless interconnect structures
US9960078B1 (en) 2017-03-23 2018-05-01 International Business Machines Corporation Reflow interconnect using Ru
US10109521B1 (en) * 2017-05-26 2018-10-23 Globalfoundries Inc. Method to prevent cobalt recess
US10731250B2 (en) * 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
US10079177B1 (en) 2017-09-01 2018-09-18 United Microelectronics Corp. Method for forming copper material over substrate
US10796956B2 (en) * 2018-06-29 2020-10-06 Texas Instruments Incorporated Contact fabrication to mitigate undercut
US10651125B2 (en) 2018-08-14 2020-05-12 International Business Machines Corporation Replacement metal cap by an exchange reaction
SG11202106002VA (en) * 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
US11133457B2 (en) 2019-09-25 2021-09-28 International Business Machines Corporation Controllable formation of recessed bottom electrode contact in a memory metallization stack
US11205589B2 (en) 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
WO2021080726A1 (en) 2019-10-21 2021-04-29 Applied Materials, Inc. Method of depositing layers

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1154507A (ja) * 1997-07-29 1999-02-26 Sony Corp 半導体装置の製造方法
KR20030053554A (ko) * 2001-12-22 2003-07-02 주식회사 하이닉스반도체 확산방지막 형성 방법
CN1494129A (zh) * 2002-07-08 2004-05-05 �����ɷ� 半导体器件和其制造方法
CN1617322A (zh) * 2003-11-13 2005-05-18 海力士半导体有限公司 在半导体装置中形成金属线的方法
CN1790663A (zh) * 2004-11-12 2006-06-21 台湾积体电路制造股份有限公司 半导体元件及制造铜导线的方法

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
US6180505B1 (en) * 1999-01-07 2001-01-30 International Business Machines Corporation Process for forming a copper-containing film
US7351315B2 (en) 2003-12-05 2008-04-01 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US7351314B2 (en) 2003-12-05 2008-04-01 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US8236159B2 (en) 1999-04-13 2012-08-07 Applied Materials Inc. Electrolytic process using cation permeable barrier
JP2001217242A (ja) * 2000-02-03 2001-08-10 Seiko Epson Corp 半導体装置およびその製造方法
US6461225B1 (en) 2000-04-11 2002-10-08 Agere Systems Guardian Corp. Local area alloying for preventing dishing of copper during chemical-mechanical polishing (CMP)
US6943112B2 (en) * 2002-07-22 2005-09-13 Asm Nutool, Inc. Defect-free thin and planar film processing
US6731006B1 (en) 2002-12-20 2004-05-04 Advanced Micro Devices, Inc. Doped copper interconnects using laser thermal annealing
US7129165B2 (en) * 2003-02-04 2006-10-31 Asm Nutool, Inc. Method and structure to improve reliability of copper interconnects
US6890828B2 (en) * 2003-06-05 2005-05-10 International Business Machines Corporation Method for supporting a bond pad in a multilevel interconnect structure and support structure formed thereby
KR100546209B1 (ko) * 2003-07-09 2006-01-24 매그나칩 반도체 유한회사 반도체 소자의 구리 배선 형성 방법
US7192495B1 (en) * 2003-08-29 2007-03-20 Micron Technology, Inc. Intermediate anneal for metal deposition
US20050095854A1 (en) * 2003-10-31 2005-05-05 Uzoh Cyprian E. Methods for depositing high yield and low defect density conductive films in damascene structures
US7030016B2 (en) * 2004-03-30 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post ECP multi-step anneal/H2 treatment to reduce film impurity
US20060091551A1 (en) * 2004-10-29 2006-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Differentially metal doped copper damascenes
US7449409B2 (en) * 2005-03-14 2008-11-11 Infineon Technologies Ag Barrier layer for conductive features
EP1909320A1 (en) * 2006-10-05 2008-04-09 ST Microelectronics Crolles 2 SAS Copper diffusion barrier
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
JP2007194540A (ja) * 2006-01-23 2007-08-02 Toshiba Corp 半導体装置の製造方法及び研磨装置
EP1845554A3 (en) * 2006-04-10 2011-07-13 Imec A method to create super secondary grain growth in narrow trenches
JP2008047719A (ja) * 2006-08-17 2008-02-28 Sony Corp 半導体装置の製造方法
JP2009026989A (ja) * 2007-07-20 2009-02-05 Toshiba Corp 半導体装置及び半導体装置の製造方法
US20090226603A1 (en) * 2008-03-10 2009-09-10 Ovonyx, Inc. Pressure extrusion method for filling features in the fabrication of electronic devices
US7767583B2 (en) * 2008-03-04 2010-08-03 Varian Semiconductor Equipment Associates, Inc. Method to improve uniformity of chemical mechanical polishing planarization
US8420537B2 (en) * 2008-05-28 2013-04-16 International Business Machines Corporation Stress locking layer for reliable metallization
US20090321934A1 (en) * 2008-06-30 2009-12-31 Lavoie Adrien R Self-aligned cap and barrier
JP5501586B2 (ja) * 2008-08-22 2014-05-21 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4441658B1 (ja) * 2008-12-19 2010-03-31 国立大学法人東北大学 銅配線形成方法、銅配線および半導体装置
KR101558428B1 (ko) * 2009-03-03 2015-10-20 삼성전자주식회사 반도체 장치의 형성 방법
CN102286760B (zh) * 2010-05-19 2016-10-05 诺发系统有限公司 用金属电化学填充高纵横比的大型凹入特征的方法、水溶液电镀槽溶液、电镀设备以及系统
US8888982B2 (en) * 2010-06-04 2014-11-18 Mks Instruments Inc. Reduction of copper or trace metal contaminants in plasma electrolytic oxidation coatings
US8357599B2 (en) 2011-02-10 2013-01-22 Applied Materials, Inc. Seed layer passivation
WO2012133400A1 (ja) 2011-03-30 2012-10-04 東京エレクトロン株式会社 Cu配線の形成方法
JP5734757B2 (ja) * 2011-06-16 2015-06-17 株式会社東芝 半導体装置及びその製造方法
US8497202B1 (en) * 2012-02-21 2013-07-30 International Business Machines Corporation Interconnect structures and methods of manufacturing of interconnect structures
US9245798B2 (en) * 2012-04-26 2016-01-26 Applied Matrials, Inc. Semiconductor reflow processing for high aspect ratio fill
US20140103534A1 (en) * 2012-04-26 2014-04-17 Applied Materials, Inc. Electrochemical deposition on a workpiece having high sheet resistance

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1154507A (ja) * 1997-07-29 1999-02-26 Sony Corp 半導体装置の製造方法
KR20030053554A (ko) * 2001-12-22 2003-07-02 주식회사 하이닉스반도체 확산방지막 형성 방법
CN1494129A (zh) * 2002-07-08 2004-05-05 �����ɷ� 半导体器件和其制造方法
CN1617322A (zh) * 2003-11-13 2005-05-18 海力士半导体有限公司 在半导体装置中形成金属线的方法
CN1790663A (zh) * 2004-11-12 2006-06-21 台湾积体电路制造股份有限公司 半导体元件及制造铜导线的方法

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11862563B2 (en) 2013-12-20 2024-01-02 Tahoe Research, Ltd. Cobalt based interconnects and methods of fabrication thereof
CN106068549A (zh) * 2013-12-20 2016-11-02 英特尔公司 基于钴的互连及其制造方法
CN106068549B (zh) * 2013-12-20 2022-02-11 英特尔公司 基于钴的互连及其制造方法
US11328993B2 (en) 2013-12-20 2022-05-10 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
CN107004597A (zh) * 2014-12-23 2017-08-01 英特尔公司 解耦过孔填充
CN104615980A (zh) * 2015-01-27 2015-05-13 华进半导体封装先导技术研发中心有限公司 一种指纹识别装置及其制作方法
CN106057730A (zh) * 2015-04-15 2016-10-26 应用材料公司 形成钴或镍互连结构的方法
CN106952892A (zh) * 2015-11-05 2017-07-14 三星电子株式会社 半导体器件及其制造方法
CN106952892B (zh) * 2015-11-05 2022-01-11 三星电子株式会社 半导体器件及其制造方法
CN108475625A (zh) * 2016-01-08 2018-08-31 应用材料公司 用于集成电路中的小及大特征的钴或镍及铜整合
CN108475625B (zh) * 2016-01-08 2023-08-11 应用材料公司 用于集成电路中的小及大特征的钴或镍及铜整合
US11791208B2 (en) 2016-03-08 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming contact metal
CN107170706A (zh) * 2016-03-08 2017-09-15 台湾积体电路制造股份有限公司 半导体装置的形成方法
US11232985B2 (en) 2016-03-08 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming contact metal
CN107564851A (zh) * 2016-06-30 2018-01-09 朗姆研究公司 双镶嵌填充
CN107564851B (zh) * 2016-06-30 2020-09-04 朗姆研究公司 双镶嵌填充
TWI783939B (zh) * 2016-06-30 2022-11-21 美商蘭姆研究公司 雙重鑲嵌填充
EP3418941A4 (en) * 2016-11-07 2019-05-01 Shenzhen Goodix Technology Co., Ltd. DIGITAL FINGER RECOGNIZING MODULE AND DIGITAL FINGER RECOGNITION CHIP CONDITIONING STRUCTURE
CN109273403B (zh) * 2018-09-27 2021-04-20 中国电子科技集团公司第五十四研究所 一种tsv填孔方法
CN109273403A (zh) * 2018-09-27 2019-01-25 中国电子科技集团公司第五十四研究所 一种tsv填孔方法
CN111211109A (zh) * 2018-11-21 2020-05-29 格芯公司 顶电极互连结构
CN111211109B (zh) * 2018-11-21 2024-03-15 格芯美国公司 顶电极互连结构
CN111463168A (zh) * 2019-09-24 2020-07-28 夏泰鑫半导体(青岛)有限公司 金属互连结构及其制备方法
CN112259499A (zh) * 2020-10-20 2021-01-22 长江存储科技有限责任公司 半导体器件及其制作方法

Also Published As

Publication number Publication date
KR20140113611A (ko) 2014-09-24
KR102178622B1 (ko) 2020-11-13
US10062607B2 (en) 2018-08-28
EP2779224A2 (en) 2014-09-17
TWI598996B (zh) 2017-09-11
EP2779224A3 (en) 2014-12-31
US20170047249A1 (en) 2017-02-16
US20140287577A1 (en) 2014-09-25
CN108695244A (zh) 2018-10-23
CN108695244B (zh) 2023-01-17
US9425092B2 (en) 2016-08-23
TW201448119A (zh) 2014-12-16
CN104051336B (zh) 2019-03-08

Similar Documents

Publication Publication Date Title
CN104051336A (zh) 用于在半导体装置中产生互连的方法
TWI723228B (zh) 以釕襯墊改善銅電遷移的經摻雜選擇性金屬蓋
US10665503B2 (en) Semiconductor reflow processing for feature fill
US6100195A (en) Passivation of copper interconnect surfaces with a passivating metal layer
TWI643291B (zh) 形成互連之方法
US8698318B2 (en) Superfilled metal contact vias for semiconductor devices
CN100399563C (zh) 半导体元件
CN102124559B (zh) 用于金属互连的共形粘附促进衬垫
US7495338B2 (en) Metal capped copper interconnect
US20140103534A1 (en) Electrochemical deposition on a workpiece having high sheet resistance
CN103426815B (zh) 用于部件填充的半导体反流处理
TWI653367B (zh) 具有高薄片電阻之工件上的電化學沉積
KR20130121041A (ko) 고 종횡비 필을 위한 반도체 리플로우 프로세싱
CN103426816A (zh) 用于高深宽比填充的半导体反流处理
KR20080061018A (ko) 반도체소자의 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant