CN106068549A - 基于钴的互连及其制造方法 - Google Patents

基于钴的互连及其制造方法 Download PDF

Info

Publication number
CN106068549A
CN106068549A CN201580002697.9A CN201580002697A CN106068549A CN 106068549 A CN106068549 A CN 106068549A CN 201580002697 A CN201580002697 A CN 201580002697A CN 106068549 A CN106068549 A CN 106068549A
Authority
CN
China
Prior art keywords
layer
adhesion layer
packing material
cobalt
manganese
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580002697.9A
Other languages
English (en)
Other versions
CN106068549B (zh
Inventor
C·J·杰泽斯基
T·K·因杜库里
R·V·谢比亚姆
C·T·卡弗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to CN202210020138.2A priority Critical patent/CN114361132A/zh
Publication of CN106068549A publication Critical patent/CN106068549A/zh
Application granted granted Critical
Publication of CN106068549B publication Critical patent/CN106068549B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53261Refractory-metal alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

实施例包括金属互连结构,所述金属互连结构包括:设置在衬底上的电介质层;所述电介质层中的开口,其中,所述开口具有侧壁并且暴露所述衬底和互连线的至少其中之一的导电区;设置在所述导电区之上和所述侧壁上的粘附层,所述粘附层包括锰;以及所述开口内和所述粘附层的表面上的填充材料,所述填充材料包括钴。本文中描述了其它实施例。

Description

基于钴的互连及其制造方法
技术领域
本发明的实施例总体上涉及金属互连结构及其制造方法。更具体而言,本发明的实施例涉及基于钴的互连结构及其制造方法。
背景技术
集成电路(IC)器件通常包括形成在半导体衬底内或上的电路元件,例如晶体管、电容器和电阻器。互连结构用于将分立的电路元件电耦合或连接成功能电路。典型的金属互连可以包括线路部分和通孔部分。
互连结构可以由铜制造,并且可以包括阻挡层,例如钛或钽或诸如氮化钽或氮化钛之类的氮化物材料、或它们的组合(例如,氮化钽/钽(TNT))。利用铜互连结构的问题在于它们对可能导致空位形成和故障的电迁移高度敏感。
钨金属化部已经成功用于制造前端接触部,并且因此已被建议用于后端金属化部,用于进行互连的制造。利用钨金属化部的可取优点是其对有害的电迁移效应高度耐受。然而,利用钨金属化部的缺点是其电阻率高于铜。更具体地,钨线路电阻比铜互连高4到6倍,并且通孔电阻可能高出多达20%。这些高电阻严重降低了IC的性能并且因此是不期望的。
附图说明
图1A-1E是根据本发明的实施例的形成钴互连的方法的截面侧视图图示。
图2是根据本发明的实施例的具有形成有钴互连的多个金属化层的集成电路的截面侧视图图示。
图3是示出根据本发明的实施例的形成钴互连的方法的流程图。
图4A-4D是根据本发明的实施例的形成具有钴插塞的钴互连的方法的截面侧视图图示。
图5A-5D是根据本发明的实施例的形成具有钴插塞的钴互连的方法的截面侧视图图示。
图6是示出根据本发明的实施例的形成具有钴插塞的钴互连的方法的流程图。
图7描绘了根据本发明实施例的包括基于钴的金属栅极电极的半导体场效应晶体管(FET)。
具体实施方式
现在将参照附图,在附图中,相同结构可以被提供有相同的后缀附图标记。为了更清楚地示出各个实施例的结构,本文中所包括的附图是半导体/电路结构的图解表示。因此,所制造的集成电路结构(例如,在显微照片中)的实际外观可以在仍并入所示实施例的要求保护的结构的同时看起来不同。此外,附图可以只示出有助于理解所示实施例的结构。可以不包括本领域中公知的附加结构以保持附图的清晰。例如,不必示出半导体器件中的每一层。“实施例”、“各个实施例”等指示如此描述的(多个)实施例可以包括特定的特征、结构或特性,但不是每一个实施例都必须包括所述特定的特征、结构或特性。一些实施例可以具有针对其它实施例而描述的特征中的一些、全部特征或不具有这些特征。“第一”、“第二”、“第三”等描述共同的对象并且指示涉及的是相同对象的不同实例。这样的形容词并不暗示如此描述的对象必须采用时间上、空间上的给定顺序、采用排序、或采用任何其它方式。“连接”可以指示元件彼此直接物理或电接触;并且“耦合”可以指示元件彼此协作或交互,但是元件可以或可以不直接物理或电接触。
本发明的一个实施例是钴互连,其包括基于锰(Mn)的粘附层和钴填充材料。粘附层(本文中有时也被称为阻挡层或润湿层)可以包括各种基于锰的成分,例如,Mn、氮化锰(MnN)、或氮化锰硅(MnSixNy)。基于Mn的粘附层可以包括沉积在经图案化的后端互连结构中的膜。可以利用钴将这些互连结构金属化。在一些实施例中,基于Mn的层很好地粘附到层间电介质(ILD),这有助于形成互连。基于Mn的层还向钴金属提供润湿。因此,基于Mn的粘附层有助于实现了小尺度(例如,22nm、14nm、10nm和更小)下互连结构的鲁棒的间隙填充。
实施例包括钴互连,所述钴互连包括钴插塞层和钴填充材料。与形成钴填充材料所使用的相比,插塞层由不同的成分和/或通过不同工艺形成。这样的钴互连是有利的,因为它们具有低电阻(例如,比钨更低)并且对电迁移高度耐受(例如,比铜更耐受电迁移),从而能够制造高性能互连结构。由于常规互连处理中尺寸的缩放,传统阻挡层(例如,TNT阻挡层)的高电阻可能会在较大程度上影响常规铜互连的性能。然而,较低电阻的Mn层可以减轻这些电阻问题。
在第一方面中,共形的基于Mn的粘附层形成在电介质层中的开口中。然后基于钴的填充材料沉积或生长在基于Mn的粘附层上以形成钴互连。
图1A-1E示出了根据本发明的实施例的形成具有基于Mn的粘附层和含钴填充层的钴互连的方法。图1A示出了具有顶表面118的衬底106,衬底106可以用作在其上形成钴互连的衬底。衬底106可以包括部分制造的IC的在其上最终制造钴互连的任何部分。例如,衬底106典型地将包括有源和无源器件或在其上形成有源和无源器件。如图1A中所示,导电区150被包括在最终将在其上形成钴互连的衬底106中。在一个这种实施例中,衬底106已经被处理通过前端工序(FEOL),并且导电区150是形成在晶体半导体衬底或层中的扩散区(例如,导电区是晶体管的源极或漏极区)。在另一和这种实施例中,如以下结合图2更详细描述的,导电区150是后端工序(BEOL)金属化结构中的底层金属线。因此,尽管在本文中有时将部分150称为“导电区150”,但这可以或可以不指示区域150比106的其余部分更导电或更不导电。另外,使用150并非旨在指示150必需是与106成非单片式的,或者利用与106不同的工艺或非同时发生地形成150。例如,当106是互连线时,区域150与106成单片式,并且在结构和功能上不能与106的其余部分进行区分。然而,当150充当源极或漏极时,区域150还可以是与106的其余部分不同掺杂的区域。提供以上阐释以避免过多的图并且在其它情况下以简洁的方式提供清晰性。
尽管实施例可以理想地适于制造半导体IC,例如但不限于微处理器、存储器、电荷耦合器件(CCD)、片上系统(SoC)IC或基带处理器,但其它应用也可以包括微电子机器、MEMS、激光器、光学器件、封装层等。实施例还可以用于制造个体半导体器件(例如,本文中所描述的钴结构可以用于制造金属氧化物半导体(MOS)晶体管的栅极电极)。
再次参考图1A,电介质层102形成在衬底106上方。电介质层102可以由任何适当的电介质或绝缘材料构成,例如但不限于二氧化硅、SiOF、碳掺杂的氧化物、玻璃或聚合物材料等。开口形成在电介质层中。开口使导电区150暴露,最终由钴互连向导电区150形成接触部(间接或直接)。在一个实施例中,如图1A中所示,如双镶嵌工艺中常见的那样,开口包括具有侧壁116的下开口114(例如,通路孔或狭槽)和具有侧壁112的上开口110(例如,金属线沟槽)。尽管描绘了两个开口(或单个具有不同宽度的开口),但要认识到,可以替代地在电介质层102中形成单个开口(例如,如单镶嵌方法中使用的,其中在单次操作中仅制造线或通孔,而不是两者)。可以通过镶嵌和双镶嵌型制造中通常使用的公知光刻和蚀刻处理技术来在电介质层102中制造开口或多个开口。尽管仅描绘了单个电介质层102,但可以替代地使用相同或不同电介质材料的多个层(例如,其中具有开口114的第一电介质层、以及其中具有开口110的第二电介质层)。另外,在实施例中,并且如图1A中所示,电介质层102形成在设置在衬底106上的蚀刻停止层104上。蚀刻停止层104可以由诸如氮化硅或氮氧化硅之类的材料构成。
参考图1B,沉积基于Mn的粘附层120(例如,包括Mn、MnN、MnSixNy等的粘附层)。在其它系统中,晶种层可以形成在基于TNT的粘附层上。种层(例如,美国专利申请No.13/730184中所公开的晶种层)可以促进填充材料的形成。然而,在图1B的实施例中不需要这种种层。在图1B的实施例中也不需要在粘附层与晶种层之间沉积合金。所以,如以下可见,基于Mn的粘附层可以直接接触ILD 102并且直接接触钴填充(如下所述),而不需要基于TNT的粘附层、任何晶种层、或基于TNT的粘附层与晶种层之间的任何合金层。
在图1B中,基于Mn的粘附层120可以形成在电介质层102的顶表面108上以及形成在衬底106的暴露的顶表面118上(例如,导电区150上)。尽管106被称为“衬底”,但在另一个实施例中,106可以是金属互连线等。基于Mn的粘附层120还形成在上开口114的侧壁116上和下开口110的侧壁112上。
基于Mn的粘附层120可以是由包括Mn、MnN、MnSixNy、MnSixOy(例如Mn2[SiO4]、MnSiO3)、其它基于Mn的硅酸盐等的材料构成的共形层。在粘附层包括Mn的实施例中,Mn含量可以包括90-100%的Mn,其中,杂质(即,剩下的10%)可以包括C、H、O及它们的组合。在粘附层包括MnNx的实施例中,Mn可以构成0-50%的Mn,N可以构成0-50%。在粘附层包括MnNx的实施例中,粘附层可以包括Mn4N或Mn3N2。在粘附层包括MnNxSiy的实施例中,Mn和N可以包括高达50%,而Si构成材料的剩余部分。在实施例中,可以在各种粘附多层组合中彼此包括Mn、N和Si,例如双层或三层(例如,粘附层包括含MnN的一个子层和含Mn的另一个子层),(例如,一个粘附层包括具有Mn和N的子层和主要包括Mn的另一个子层),(例如,一个粘附层包括含MnNxSiy的子层和主要含Mn的另一个子层)等等。如这里所使用的,成分的%是指原子%。
在一个实施例中,基于Mn的粘附层120被形成为小于3nm的厚度,并且典型地为1nm到3nm的厚度。在实施例中,层120的厚度范围(无论层120包括单个层还是多个子层,例如包括MnN的一个子层和包括Mn的另一个紧邻的子层)可以从0.1A变化到50A。在实施例中,层120介于10A-20A之间,例如包括10、12、14、16、18、或20A。
在实施例中,导电区150包括至少一些锗(例如,暴露的掺杂硅锗或掺杂的锗区域、或金属锗化物区域)。在实施例中,导电区150包括至少一些硅(例如,暴露的掺杂硅区域、或金属硅化物区域)。
可以通过化学气相沉积(CVD)、原子层沉积(ALD)、物理气相沉积(PVD)、电镀、化学镀或沉积共形薄膜的其它适当工艺来沉积或生长层120。在实施例中,沉积层120以形成高质量的共形层,其充分并均匀覆盖开口内的所有暴露的表面和顶表面。在一个实施例中,可以通过在低沉积速率沉积下沉积基于Mn的材料以均匀并一致地沉积共形粘附层来形成粘附层。通过以共形方式形成层120,可以改善随后形成的填充材料(例如,钴)与下层结构的兼容性。具体而言,层120能够通过为其上的沉积提供适当的表面能量来辅助沉积工艺。
使用诸如CVD、ALD和PVD等工艺的薄膜的沉积制法可能根据期望的工艺时间、厚度和合格质量而变化。例如,利用CVD沉积粘附层120可以比ALD工艺沉积相同层更快地创建共形薄膜层;然而,由CVD工艺沉积的薄膜质量可能低于ALD工艺沉积的薄膜的质量。在另一个实施例中,通过PVD工艺沉积层120。可以利用接收衬底与对应的溅射靶之间增大的距离来执行PVD工艺,以形成高度共形的薄膜。
参考图1C,可以在粘附层120的暴露的表面上形成填充材料122,以使得填充材料122完全填充开口110和114,并且使填充材料122形成在粘附层120的顶表面上和电介质102的顶表面108上。接缝124可以在填充材料122的沉积期间形成在开口110、114内。在实施例中,填充材料122由低合金构成,低合金由大约0.25-5%的非钴元素(例如,Al、Ni、Cu、Ag、Au、Mn、Ti、V、Cr、Fe、Ta、W、Ru、P、B、C、N、Si、Ge、Mg、Zn、Rh、Pt、Cd、Hf、In、Sn、C、O、Be、Ca、Zr、Nb、Mo、Ir、Re、或Pd)和剩下部分为大约95+%的钴构成。在沉积或沉积之后的处理期间,这种低合金可能包含非钴物质到填充122的表面的迁移。迁移可以为钴提供扩散阻挡体(除粘附/阻挡层120之外)和/或用于增强钴到层120的粘附。钴内的晶粒边界也可以被非钴物质填充。在一个实施例中,填充材料122实质上仅由钴构成。在另一个实施例中,填充材料122为至少90%的钴。在又一个实施例中,填充材料122由至少50%的钴构成。
在本发明的实施例中,可以通过例如但不限于CVD、ALD、PVD、电镀或化学镀的工艺来形成钴填充材料122。在一个实施例中,用于形成填充材料122的工艺方法可以与用于形成层120的工艺不同。此外,可以共形地形成层120,而可以通过非共形或自下而上的方式来形成填充材料122。例如,可以通过在接收衬底的暴露的表面上形成共形层的ALD沉积工艺来形成层120,而可以通过将填充材料定向溅射到层120的表面上的PVD工艺来形成填充材料122,与更加垂直取向的侧壁表面相反,平坦水平表面上的沉积速率更大。在另一个示例中,可以通过在接收衬底的暴露的表面上形成共形层的ALD沉积工艺形成层120,而可以通过从层120的表面生长填充材料的电镀工艺来形成填充材料122。在又一个示例中,层120可以由CVD沉积工艺形成,并且填充材料可以由PVD工艺形成。
在另一个实施例中,层120和填充材料122可以由相同的工艺(例如,ALD、CVD或PVD)来沉积,但具有不同的沉积参数组,例如压力、沉积速率、温度等。例如,可以通过CVD工艺沉积层120和填充材料122;然而,用于粘附层120的CVD处理中使用的参数组(例如,沉积压力和温度)可以与用于填充材料122的CVD处理中使用的参数组不同。在另一个示例中,层120和填充材料122由PVD工艺形成,但层120可以由与用于形成填充材料的PVD工艺相比、在靶与接收衬底之间具有较大距离的PVD工艺形成。在另一个实施例中,金属填充材料122由柱状(colummated)PVD工艺形成,而层120由非柱状PVD工艺形成。或者替代地,层120由具有比用于形成填充材料122的ALD工艺的沉积速率更低的沉积速率的ALD工艺形成,以使得层120比填充材料122形成得更共形。
参考图1D,可以任选地执行退火工艺以使沉积的互连层回流。可以在退火工艺之后去除图1C的接缝124,在电介质层102内的开口110和114内形成实体结构。退火工艺可以帮助在填充材料122内生长较大的晶粒结构,从而减小电阻率并且从不良晶粒结构中驱逐杂质。在一个实施例中,退火工艺使用诸如氮气、氢气和氩气的气体。此外,可以在比后端结构热预算更低的温度下执行退火工艺。例如,在一个实施例中,在300℃、400℃、500℃或更高的温度下执行退火工艺。在另一个实施例中,在高于填充材料122的熔点但低于后端结构热预算的温度下执行退火工艺。在各实施例中,退火工艺能够宽泛地的变化(例如,对于互连为300℃、400℃、500℃或更高,对于前端应用直到高达900℃)。在这样的实施例中,退火温度不高于要进行退火的材料的熔点,因为要退火的材料的回流能够发生在比要退火的材料的熔点低得多的温度下。在这样的实施例中,用于要退火的材料的退火温度可以低于后端结构的热预算。
在又一个实施例中,可以任选地利用循环技术在开口114和110之内沉积互连层122(也被称为填充层122),而没有接缝124。一个周期可以涉及填充材料122的一次沉积和一次退火工艺。可以将一个周期的退火操作设置在一定温度和持续时间,以简短地回流填充材料,改善台阶覆盖。一个周期的沉积操作可以是短沉积以沉积较少填充材料,从而需要几次操作以完全填充通孔和线开口114和110。在一个实施例中,需要少于5个周期来沉积填充材料122而没有接缝124。
参考图1E,可以执行化学机械平面化(CMP)工艺以去除设置在电介质层102顶表面108上方的填充材料122和粘附层120。在一个实施例中,CMP工艺可以是定时CMP工艺,对CMP工艺进行定时以在线电介质层的顶表面108处停止。在另一个实施例中,CMP工艺可以利用线电介质层的顶表面108作为停止层。由于沉积在线电介质层顶表面上方的填充材料的厚度可以发生变化,所以利用顶表面108作为停止层可能是更可靠的方法。在替代的实施例中,使用蚀刻工艺来去除设置在电介质层102顶表面108上方的填充材料122和层120。
图2示出了根据本发明实施例的具有钴金属互连的IC结构的部分的截面200。电介质层102的叠置体包括具有粘附层120和金属(例如,钴)填充层124的金属互连。图2中所示的IC结构的部分可以是例如在微处理器管芯或存储器管芯中发现的后端工序(BEOL)金属化结构的部分。
图3是示出根据本发明的实施例的形成钴金属互连的方法的流程图300。在302,开口形成在电介质层中以使衬底中(或附加的金属互连上)的导电区暴露。在304,与导电区接触的基于Mn的粘附/阻挡层形成在衬底之上、以及在电介质层之上和开口侧壁上。在306,填充材料形成在粘附层上并且填充开口。填充材料由基于钴的材料构成。在308,在任选的实施例中,施加热量以使填充材料回流。在310,去除设置在电介质层的上表面上方的填充材料和粘附层材料。
在实施例中,基于钴的插塞形成在电介质层中的开口的下部(例如,通路孔或狭槽)中。然后基于钴的导电线在开口的上部(例如,金属线沟槽)中形成在基于钴的插塞上,以形成钴互连。
例如,图4A-4D示出了根据本发明实施例的形成钴金属互连的方法。该方法开始于与结合图1B所示并所述的结构相同的结构,其包括粘附层120,并且为了简洁起见将不再进行描述。
参考图4A,插塞420形成在粘附层120上。在实施例中,如图所示,插塞420仅形成在电介质层102的下开口114内,以使得下开口114完全被插塞材料420填充。然而,在另一个实施例中,插塞420的顶表面可以不与下开口114的顶表面共面。例如,插塞420的顶表面可以比下开口的顶表面更高或更低。插塞420的顶表面可以位于层120的水平顶表面、或位于层120的水平顶表面上方或下方,其中,层120水平设置在114的顶部和110的底部。如图4A中所示,插塞420的顶表面恰好在层120的水平顶表面下方,其中,层120水平设置在114顶部和110底部。在另一个实施例中,插塞420的顶表面可以由于形成插塞420期间的生长曲线的结果而形成蘑菇状圆顶。
在实施例中,以自下而上的方式形成插塞420。即,插塞420不是通过共形沉积而形成的。例如,在一个实施例中,通过在导电区150正上方的层120的表面上选择性沉积,并且然后从导电区150正上方的层120的表面生长,来执行插塞420的形成。在具体实施例中,通过将插塞材料化学镀到层120的暴露且兼容的表面上来形成插塞420。作为示例,导电区150具有上金属化或含金属的表面,例如钴(Co)、铜(Cu)或钨(W),并且通过化学镀沉积来形成基于钴的插塞420,化学镀沉积包含从导电区150的金属化或含金属的表面上方的层120开始自下而上生长。在其它实施例中,可以使用其它适当的自下而上的填充和生长沉积方法,例如但不限于电镀。自下而上的填充方法是沉积速率在平面或平坦表面上比在垂直侧壁表面上快的方法。
插塞420可以是由至少50%的钴构成的基于钴的插塞。在具体实施例中,插塞420由至少90%的钴构成。在任何这样的情况下,如果有的话,插塞420成分的非钴的剩余部分可以包括Al、Ni、Cu、Ag、Au、Mn、Ti、V、Cr、Fe、Ta、W、Ru、P、B、C、N、Si、Ge、Mg、Zn、Rh、Pt、Cd、Hf、In、Sn、C、O、Be、Ca、Zr、Nb、Mo、Ir、Re、或Pd中的一种或多种。在另一个实施例中,插塞420由基于钴的化合物或合金材料构成。例如,在一个实施例中,插塞420由低合金构成,低合金由大约0.25-5%的非钴元素(例如上文所列出的那些)和剩余部分使用大约95+%的钴构成。在沉积或沉积之后的处理期间,这种低合金可以包含非钴物质到钴插塞的表面或界面的迁移。迁移可以为钴提供扩散阻挡体和/或用于增强钴到层120的粘附性。钴内的晶粒边界也可以被非钴物质填充。然而,在其它实施例中,插塞420可以包括少于50%的钴,但仍然被称为基于钴的材料。基于钴的化合物插塞420材料的示例性实施例包括硅化钴或锗化钴插塞材料。在具体的这种实施例中,导电区150包括至少一些锗(例如,暴露的掺杂硅锗或掺杂的锗区域,或金属锗化物区域),并且插塞420材料是锗化钴层。在另一个具体的这种实施例中,导电区150包括至少一些硅(例如,暴露的掺杂硅区域,或金属硅化物区域),并且插塞420材料是硅化钴层。基于钴的合金插塞420材料的示例性实施例包括与以下材料中的一种或多种形成合金的钴:Al、Ni、Cu、Ag、Au、Mn、Ti、V、Cr、Fe、Ta、W、Ru、P、B、C、N、Si、Ge、Mg、Zn、Rh、Pt、Cd、Hf、In、Sn、C、O、Be、Ca、Zr、Nb、Mo、Ir、Re、或Pd。在上述实施例中,钴插塞420可以具有小晶粒结构。在实施例中,可以在没有层120的情况下使用上述掺杂剂(即,0.25-5%的非钴元素),从而钴将直接粘附到侧壁116以及部分150。在实施例中,掺杂剂本质上通过扩散到界面(例如,150和116处的界面)而创建层120(粘附层)。在一个实施例中,掺杂剂形成具有部分150的层。在另一个实施例中,掺杂剂不形成具有150的层,从而使阻挡体没有底部,并且插塞420直接接触区域150。
参考图4B,可以在插塞420和层120的暴露的顶表面上任选地执行预处理422。可以通过等离子体工艺或离子轰击来执行预处理,以增强稍后形成的化合物与被处理的表面的粘附。在一个实施例中,可以利用例如H2/He等离子体、Ar等离子体、NH3等离子体、N2等离子体、和/或它们的组合在从室温直到大约300℃、400℃、500℃或更高的温度下,在等离子体腔中执行大约20到60秒的预处理。在另一个实施例中,预处理可以包括Ar离子轰击。要理解的是,可以在工艺流程的其它阶段(例如,在形成插塞材料之前)执行这种预处理。在实施例中,在形成层120之前执行预处理。然而,在其它实施例中,对层120的处理可能对密度相当有益而不会影响诸如层102的电介质。
参考图4C,填充材料424形成在插塞420和上开口110内的层120上。在沉积填充材料424之后,可以在上开口110内形成接缝426。在一个实施例中,填充材料424由包括钴的材料构成。例如,填充材料可以由以上针对填充材料122所述的材料构成并且可以通过以上针对填充材料122所述的工艺来沉积。此外,填充材料424在成分和/或沉积技术方面可以与插塞420材料不同。
可以任选地执行退火工艺以使沉积的填充材料424回流。可以在退火工艺之后去除图4C的接缝426,从而在电介质层102内的开口110和114内形成实体结构。退火工艺可以实现填充材料424内的较大的晶粒结构的生长,从而减小电阻率并从其它情况下的不良的晶粒结构中驱逐杂质。在一个实施例中,退火工艺包含对例如但不限于氮气、氢气或氩气的形成气体的使用。此外,可以在低于后端结构热预算的温度下执行退火工艺。例如,在一个实施例中,在室温到300℃、400℃、500℃或更高的温度下执行退火工艺。在另一个实施例中,在高于填充材料424的熔点但低于后端结构的热预算的温度下执行退火工艺。在实施例中,退火温度不高于要进行退火的材料的熔点,因为要退火的材料的回流可以发生在比要退火的材料的熔点低得多的温度下。在这样的实施例中,用于要退火的材料的退火温度可以低于后端结构的热预算。
在又一个实施例中,任选地利用循环技术在开口110内沉积填充材料424,而没有接缝426。一个周期可以包含填充材料424的单次沉积和单次退火工艺。可以将一个周期的退火操作设置在使填充材料424短暂回流的温度和持续时间下,以改善阶梯覆盖。一个周期的沉积操作可以是沉积较少填充材料424的短沉积,从而需要几次操作来完全填充上开口110。在一个实施例中,需要小于5个周期来沉积填充材料424,而没有接缝426。
参考图4D,可以执行CMP工艺来去除层120的顶表面上方的填充材料424,以提供基于钴的结构428。在一个实施例中,CMP工艺可以是定时CMP工艺,对CMP工艺进行定时,以在线电介质层的顶表面108处停止。在另一个实施例中,CMP工艺可以利用电介质层102的顶表面108作为停止层。由于沉积在电介质层102顶表面上方的填充材料厚度可以变化,所以利用顶表面108作为停止层可能是更可靠的方法。在替代的实施例中,使用蚀刻工艺来去除电介质层102的顶表面108上方的填充材料424。
在实施例中,基于钴的插塞形成在电介质层中的开口的下部(例如,通路孔或狭槽)中。然后,粘附层形成在开口的上部(例如,金属线沟槽)中,位于基于钴的插塞之上。然后,基于钴的导电线形成在恰好位于插塞之上的粘附层的部分上,以填充开口的上部,以形成钴互连。
例如,图5A-5D示出了根据本发明实施例的形成具有基于Mn的粘附层和含钴填充层的钴互连的方法。该方法开始于与结合图1A所示和所述的结构相同的结构。接下来,参考图5A,基于钴的插塞420形成在电介质层102的下开口114中、衬底106的导电区150上。然而,在另一个实施例中,在形成插塞420之前,首先沿电介质102的侧壁和/或在部分150顶部上形成粘附层。
参考图5B,与图5A的结构共形地沉积基于Mn的粘附层524(例如,Mn、MnN、MnSixNy、MnSixOy(例如,Mn2[SiO4]、MnSiO3)、其它基于Mn的硅酸盐等)。例如,在一个实施例中,基于Mn的粘附层524形成在电介质层102的上开口114中和暴露的插塞420上。形成粘附层524的成分和方法可以如针对图1B的粘附层120所述的那样。
参考图5C,填充材料526形成在粘附层524上、上开口110内、以及电介质层102的顶表面108上。在沉积填充材料526之后,接缝528可以形成在上开口110内。填充材料层526的成分和形成填充材料层526的方法可以如针对图1C的填充材料122所述那样。此外,尽管在实施例中,插塞420和填充材料526全都是基于钴的,但它们在成分和/或沉积技术上可以全部互不相同。
可以任选地执行退火工艺以使沉积的填充材料526回流。可以在退火工艺之后去除图5C的接缝528,在电介质层102的开口110内形成实体结构。退火工艺可以实现填充材料526内的较大的晶粒结构的生长,从而减小电阻率并从不良的晶粒结构中驱逐杂质。在一个实施例中,退火工艺包含使用气体,例如但不限于氮气、氢气或氩气。此外,可以在低于后端结构热预算的温度下执行退火工艺。例如,在一个实施例中,在室温到300℃、400℃、500℃或更高的温度下执行退火工艺。在另一个实施例中,在高于填充材料526的熔点但低于后端结构的热预算的温度下执行退火工艺。在实施例中,退火温度不高于要进行退火的材料的熔点,因为要退火的材料的回流可以发生在比要退火的材料的熔点低得多的温度下。在这样的实施例中,用于要退火的材料的退火温度可以低于后端结构的热预算。
在又一个实施例中,可以利用循环技术在开口110内沉积填充材料526,而没有接缝528。一个周期可以包含填充材料526的一次沉积和一次退火工艺。可以将一个周期的退火操作设置在使填充材料短暂回流的温度和持续时间下,以改善阶梯覆盖。一个周期的沉积操作可以是沉积较少填充材料的短沉积,从而需要几次操作来完全填充开口510。在一个实施例中,需要小于5个周期来沉积填充材料526,而没有接缝528。
参考图5D,可以执行CMP工艺来去除设置在电介质层102顶表面108上方的填充材料526和粘附层524,以提供基于钴的结构530。在一个实施例中,CMP工艺可以是定时CMP工艺,对CMP工艺进行定时,以在电介质层102的顶表面108处停止。在另一个实施例中,CMP工艺可以利用电介质层102的顶表面108作为停止层。由于沉积在电介质层102顶表面108上方的填充材料的厚度可以变化,所以利用顶表面108作为停止层可能是更可靠的方法。在替代的实施例中,使用蚀刻工艺来去除设置在电介质层102的顶表面108上方的填充材料526和层524。
图6是示出根据本发明的实施例的形成钴金属互连的方法的流程图600。在602,在电介质层中形成开口以暴露衬底中的导电区。在604,在开口的下部中形成与导电区接触的钴插塞。在605,在开口的上部中形成基于Mn的粘附层(例如,Mn、MnN、MnSixNy等)。在606,填充材料形成在插塞和粘附层上以填充开口。填充材料由基于钴的材料构成。在608,在任选的实施例中,施加热量以使填充材料回流。在610,去除设置在电介质层的上表面上方的填充材料。在一个这种实施例中,填充材料和插塞都包括钴,但具有不同的材料成分。在另一个这种实施例中,填充材料和插塞都包括钴,但是由不同的沉积或生长技术形成。在又一个这种实施例中,填充材料和插塞都包括钴,但是具有不同的材料成分并且由不同的沉积或生长技术形成。
在实施例中,半导体器件的金属栅极电极至少部分地由钴构成。即,本发明的实施例不必限于形成基于钴的互连。
在示例中,图7描绘了根据本发明的实施例的在衬底702上制造的金属氧化物半导体场效应晶体管(MOS-FET)700。栅极电介质层704设置在沟道区706上方,并且栅极电极708设置在栅极电介质层704上方。栅极电介质层704和栅极电极708可以被栅极隔离间隔体710隔离。可以通过向衬底702中注入掺杂原子来形成尖端扩展712。可以通过在衬底702的蚀刻掉部分中选择性生长外延膜来形成源极和漏极区(例如,应变感生的源极/漏极区720),并且源极和漏极区被原位掺杂或在外延膜生长之后被掺杂或二者。在实施例中,尖端扩展712可以与源极和漏极区同时形成,以创建“外延”尖端扩展。在典型的MOS-FET中,沟道区706由诸如单晶硅的半导体材料构成。在实施例中,栅极电极708是金属栅极电极(例如,栅极电极708的功函数基于金属或含金属的层)。在一个这种实施例中,金属栅极电极由至少一些钴构成。例如,在具体实施例中,金属栅极电极708包括基于Mn的粘附层(例如,如上所述的Mn、MnN、MnSixNy等)708A和其上的钴填充金属708B。例如,基于钴的材料或膜708B由至少90%的钴构成。在具体的这种实施例中,基于钴的材料或膜708B由低合金构成,低合金具有大约0.25-5%的非钴元素的、以及剩余部分为大约95+%的钴。
另外,要理解的是,MOS-FET 700可以是平面器件,或者包括三维主体(例如,如在双栅极、鳍状物FET、三栅极或栅极全包围晶体管中那样)。如此,衬底702可以是平面衬底或者可以描绘三维主体的截面图。最后,要理解的是,为了清晰起见,仅描绘了MOS-FET 700的几个特征。要理解的是,如现有技术中公知的,还可以包括隔离层(例如,层间电介质层740)、以及用于将MOS-FET 700集成到例如集成电路中的金属化布线层。
例如,各种基于钴的实施例可以包括在移动计算节点中,例如蜂窝电话、智能电话、平板计算机、超极本笔记本电脑、膝上型计算机、个人数字助理、以及基于移动处理器的平台。
示例1包括:设置在衬底上的电介质层;电介质层中的开口,其中,开口具有侧壁并且暴露衬底和附加互连结构的至少其中之一的导电区;设置在导电区之上和侧壁上粘附层,粘附层包括锰;以及开口内和粘附层的表面上的填充材料,填充材料包括钴。在实施例中,电介质直接接触衬底,但在其它实施例中,一个或多个层位于电介质与衬底之间。在实施例中,附加的互连结构可以包括通孔、填充有金属的沟槽(互连线)等。尽管示例包括了双镶嵌方法,但以上刚刚论述的开口未必具有不同的宽度,例如,在图1中发现的那些,或一般与双镶嵌工艺相关联的通孔之上的线路。如下所解释的,提到“设置在导电区之上的粘附层,粘附层包括锰”未必表示导电区之上的粘附层的部分中会有锰。例如,如果锰不在导电区之上的粘附层部分中而在侧壁上的粘附层中,则仍然满足“设置在导电区之上的粘附层,粘附层包括锰”。
在示例2中,示例1的主题可以任选地包括,其中,填充材料由至少50原子%的钴构成。
在示例3中,示例1-2的主题可以任选地包括,其中,粘附层包括从由硅、氮、碳、氢、和氧组成的组中选择的至少一种元素。
在示例4中,示例1-3的主题可以任选地包括,其中,粘附层不厚于50A。
在示例5中,示例1-4的主题可以任选地包括,其中,粘附层直接接触填充材料。
在示例6中,示例1-5的主题可以任选地包括,其中,粘附层直接接触导电区。在示例6的另一个形式中,示例1-5的主题可以任选地包括,其中,粘附层直接接触导电区和电介质层。
在示例7中,示例1-6的主题可以任选地包括,其中,填充材料包括从由硅和锰组成的组中选择的至少一种元素。这可能是由于硅或锰从粘附层迁移到填充材料中和/或这可能是由于利用除钴之外已经存在的硅和/或锰沉积来填充材料。
在示例8中,示例1-7的主题可以任选地包括,其中,填充材料包括直接接触包括在粘附层中的锰的锰。钴填充层可以包括锰和/或硅。利用扫描电子显微镜(SEM)图像或透射电子显微镜(TEM)图像,视觉上这可能并不明显,但其它检测方法可以指示出钴填充层中存在锰和/或硅。沿着钴填充层的外部边缘可能存在锰和/或硅,因为锰和/或硅可能已经从粘附层迁移到钴填充层。例如,在锰和钴之间存在可溶性,并且来自与填充层接触的粘附层的锰可能已经与钴填充层混合。这种迁移有助于钴填充粘附到粘附层,并且还允许粘附层起到钴填充层的润湿层的作用。润湿层包括外延生长在创建自组装的量子点或薄膜的表面上的原子的初始层。
示例涉及TEM能量散射x射线(EDX)。小TEM探头电子与要进行成像的材料交互作用,并且该材料从图像一个像素内存在的不同元素发射x射线。这允许对图像的元素映射。通过跨结构采用具有更多停留时间的行扫描(例如,跨通孔而不是图像中的每个像素)来达到更高灵敏度。在实施例中(示例8a),如果钴填充层中存在锰,那么锰可能会迁移到粘附层/填充材料界面,从而改善粘附性。然后,EDX可以检测到钴填充层中和粘附层/填充层边缘/界面附近的锰。在实施例中(示例8b),如果锰处于粘附层/填充层界面处,它可能会扩散到钴和其它界面中(例如,如同在执行CMP之后的顶层)。将会在填充层和边缘/界面附近两者中检测到这样的情况。在实施例中,如果未发生锰的扩散,那么锰可以仅处于示例8a的填充层中和示例8b的界面处。在实施例中,如果锰存在于120和填充层两者中,可以在这两个区域中检测到锰。扩散可能会相对于浓度/成分以及粘附或润湿能力发生变化,从而示例8a和/或8b的过程发生,但仍然可以在实施例中的两种场景下检测到锰。
实施例类似于图5D的实施例,但包括电介质帽盖层/蚀刻停止层(例如,类似于或直接等同于元件104的材料和结构),电介质覆盖层/蚀刻停止层从左侧电介质部分102的顶表面、跨过524和526的顶部、然后跨过右侧电介质部分102的顶部延伸。这覆盖了经抛光的金属,并且稍后通过蚀刻将其穿通以形成针对下一层的通孔,就如同图5D中利用穿过空间116并穿过层104的通孔所示的那样。该覆盖物密封地保护了线(例如,材料530)并且锰(其在这该实施例中被混合并存在于钴填充530中)可以扩散到覆盖层,以改善电介质覆盖层/蚀刻停止层对钴530的粘附性(例如,通过形成硅酸盐)。锰可以从层120扩散或从已沉积的包括一定量锰的合金钴填充层扩散。可以在高温下沉积电介质覆盖物,并且从而使温度驱使锰从层120和/或530和/或420内热扩散直到沟槽110的顶部。可以通过TEM EDX方法等在新的电介质覆盖物/层530界面处检测锰。
在另一个示例中,示例1-8的主题可以任选地包括直接形成在粘附层和填充材料的顶部上的电介质层,电介质层包括锰;其中,填充材料也包括锰。锰可能已经从粘附层和/或粘附层迁移到电介质中。
在另一个示例9中,示例1-8的主题可以任选地包括直接形成在填充材料的顶部上的电介质层,电介质层包括第一材料;其中,第一材料还包括在填充材料中,并且第一材料是从包括Al、Ni、Cu、Ag、Au、Mn、Ti、V、Cr、Fe、Ta、W、Ru、P、B、C、N、Si、Ge、Mg、Zn、Rh、Pt、Cd、Hf、In、Sn、C、O、Be、Ca、Zr、Nb、Mo、Ir、Re、和Pd的组中选择的。该材料可能已经从填充材料迁移到电介质中。
在示例9中,示例1-8的主题可以任选地包括,其中(a)粘附层包括直接接触电介质层的第一部分和直接接触导电区的第二部分,并且(b)第一部分包括比第二部分更高的原子%的锰。锰与电介质以及钴填充层接合得很好。在粘附层/电介质界面上,锰形成MnSixOy(例如,Mn2[SiO4]、MnSiO3)和其它基于Mn的硅酸盐。硅酸盐具有阻碍扩散的功能,从而防止钴扩散到周围的电介质中并且还将具有粘合剂/润湿功能,以确保钴很好地粘附到电介质。在粘附层/钴填充层界面上,发生金属到金属的接合(例如,在锰与钴之间),并且在界面处产生了一些合金化。这种接合实现了额外的好处,即锰将在通孔底部分解(其中,在实施例中,粘附层直接接触金属填充层,并且可能还直接接触另一个互连或导电衬底部分)。这降低了接口连接到其它互连或衬底的导电部分的粘附层处的通孔中的电阻。例如,形成在通孔底部的来自120的锰开始与ILD上的类似的120部分一样厚。在退火之后,锰可以完全扩散到钴填充中或者可以保留在层120中。保留的量可以发生变化。因此,在一些实施例中,在完成器件处理之后,在通孔底部附近的粘附层可能几乎没有剩下锰。
在示例10中,示例1-9的主题可以任选地包括,其中,填充材料实质上由钴组成。在另一个示例中,示例1-9的主题可以任选地包括,其中,粘附层未将导电区与填充材料完全分隔开,并且填充材料直接接触导电区的部分。因此,通过TEM EDX检测或以其它方式(不论粘附层是否将填充材料与导电区完全还是不完全分隔开);都可能有粘附层中没有锰的将填充材料与导电区分隔开的区域。可以将此视为没有可以检测到的粘附层(不论那里是否有该层)的区域,并且因此“粘附层未将导电区与填充材料完全分隔开,并且填充材料直接接触导电区的部分”。
示例11包括一种形成金属互连结构的方法,包括:在衬底上的电介质层中形成开口,其中,开口暴露衬底和附加互连结构的至少其中之一的导电区;在开口中和导电区上以及还在侧壁上形成包括锰的粘附层;在开口之内和粘附层的表面上形成包括钴的填充材料;以及去除电介质层的上表面上方的填充材料和粘附层的部分。
在示例12中,示例11的主题可以任选地包括,其中,粘附层包括从由硅、氮、碳、氢、和氧组成的组中选择的至少一种元素。
在示例13中,示例11-12的主题可以任选地包括,其中,粘附层直接接触填充材料。
在示例14中,示例11-13的主题可以任选地包括,其中,粘附层直接接触导电区。
在示例15中,示例13-14的主题可以任选地包括,其中(a)粘附层包括直接接触电介质层的第一部分和直接接触导电区的第二部分,并且(b)第一部分包括比第二部分更高的原子%的锰。
在示例16中,示例13-15的主题可以任选地包括利用共形的方法形成粘附层,并且利用非共形的方法形成填充层。
在另一个示例中,示例13-15的主题可以任选地包括直接在粘附层和填充材料的顶部上形成电介质层,电介质层包括锰;其中,填充材料还包括锰。同样,锰可能已经从粘附层和/或填充材料迁移到电介质中。
示例17包括金属互连结构,金属互连结构包括:设置在衬底上的电介质层;设置在电介质层中并且暴露衬底和附加互连结构的至少其中之一的导电区的开口,开口具有下部和上部;设置在开口的下部中的插塞,插塞包括钴;设置在侧壁上的粘附层,粘附层包括锰;以及设置在插塞上和开口的上部中的填充材料,填充材料包括钴。
在示例18中,示例17的主题可以任选地包括,其中,粘附层直接接触插塞和电介质。
在示例19中,示例17-18的主题可以任选地包括,其中,粘附层位于插塞与填充材料之间。
在示例20中,示例17-19的主题可以任选地包括,其中,插塞和填充材料具有不同的成分。
在另一个示例20中,示例17-19的主题可以任选地包括直接形成在粘附层和填充材料的顶部上的电介质层,电介质层包括锰;其中,填充材料还包括锰。锰可能已经从粘附层迁移到电介质中。
已经出于说明和描述的目的介绍了本发明的实施例的前述描述。其并不旨在穷举或将本发明限制到所公开的精确形式。本说明书和所附权利要求包括诸如左、右、顶、底、在……之上、在……之下、上、下、第一、第二等术语,它们仅用于描述性目的而不被认为是限制性的。例如,标示相对垂直位置的术语指代衬底或集成电路的器件侧(或有源表面)是该衬底的“顶”表面的情形;衬底实际上可以处于任何取向,以使得在标准的地面参考系中,衬底的“顶”侧可以低于“底”侧并且仍然落在术语“顶”的意义内。如本文中(包括权利要求书中)所使用的术语“在……上”并不指示在第二层“上”的第一层直接在第二层上并且与第二层直接接触,除非对此进行明确陈述;在第一层与位于第一层上的第二层之间可以存在第三层或其它结构。可以在若干位置和取向上制造、使用或装运本文中所描述的器件或制品的实施例。相关领域技术人员可以意识到,鉴于以上教导,可以做出许多修改和变化。本领域技术人员将认识到针对图中所示的各个部件的各种等价组合和替换。因此,本发明的范围旨在不受该具体实施方式的限制,而是受所附权利要求的限制。

Claims (25)

1.一种金属互连结构,包括:
衬底上的电介质层;
所述电介质层中的开口,其中,所述开口具有侧壁并且暴露所述衬底和附加互连结构的至少其中之一的导电区;
所述导电区上和所述侧壁上的粘附层,所述粘附层包括锰;以及
所述开口内和所述粘附层的表面上的填充材料,所述填充材料包括钴。
2.根据权利要求1所述的结构,其中,所述填充材料由至少50原子%的钴构成。
3.根据权利要求2所述的结构,其中,所述粘附层包括从由硅、氮、碳、氢、和氧组成的组中选择的至少一种元素。
4.根据权利要求2所述的结构,其中,所述粘附层不厚于50A。
5.根据权利要求4所述的结构,其中,所述粘附层直接接触所述填充材料。
6.根据权利要求5所述的结构,其中,所述粘附层直接接触所述导电区。
7.根据权利要求6所述的结构,其中,所述粘附层直接接触所述电介质层。
8.根据权利要求7所述的结构,其中,所述填充材料包括直接接触包括在所述粘附层中的锰的锰。
9.根据权利要求2所述的结构,其中,所述填充材料包括从由硅和锰组成的组中选择的至少一种元素。
10.根据权利要求2所述的结构,其中,(a)所述粘附层包括直接接触所述电介质层的第一部分和直接接触所述导电区的第二部分,并且(b)所述第一部分包括比所述第二部分更高的原子%的锰。
11.根据权利要求10所述的结构,其中,所述粘附层未将所述导电区与所述填充材料完全分隔开,并且所述填充材料直接接触所述导电区的部分。
12.根据权利要求2所述的结构,其中,所述填充材料实质上由钴组成。
13.根据权利要求1所述的结构,包括直接形成在所述粘附层和所述填充材料的顶部上的电介质层,所述电介质层包括锰;其中,所述填充材料也包括锰。
14.根据权利要求1所述的结构,包括直接形成在所述填充材料的顶部上的电介质层,所述电介质层包括第一材料;其中,所述第一材料还包括在所述填充材料中,并且所述第一材料是从包括Al、Ni、Cu、Ag、Au、Mn、Ti、V、Cr、Fe、Ta、W、Ru、P、B、C、N、Si、Ge、Mg、Zn、Rh、Pt、Cd、Hf、In、Sn、C、O、Be、Ca、Zr、Nb、Mo、Ir、Re、和Pd的组中选择的。
15.一种形成金属互连结构的方法,包括:
在衬底上的电介质层中形成开口,其中,所述开口暴露所述衬底和附加互连结构的至少其中之一的导电区;
在所述开口中和所述导电区上以及还在侧壁上形成包括锰的粘附层;
在所述开口内和所述粘附层的表面上形成包括钴的填充材料;以及
去除所述填充材料和所述粘附层的位于所述电介质层的上表面上方的部分。
16.根据权利要求15所述的方法,其中,所述粘附层包括从由硅、氮、碳、氢、和氧组成的组中选择的至少一种元素。
17.根据权利要求15所述的方法,其中,所述粘附层直接接触所述填充材料。
18.根据权利要求15所述的方法,其中,所述粘附层直接接触所述导电区。
19.根据权利要求15所述的方法,其中,(a)所述粘附层包括直接接触所述电介质层的第一部分和直接接触所述导电区的第二部分,并且(b)所述第一部分包括比所述第二部分更高的原子%的锰。
20.根据权利要求15所述的方法,包括:利用共形方法形成所述粘附层,以及利用非共形方法形成所述填充层。
21.根据权利要求15所述的方法,包括直接在所述粘附层和所述填充材料的顶部上形成电介质层,所述电介质层包括锰;其中,所述填充材料也包括锰。
22.一种金属互连结构,包括:
衬底上的电介质层;
包括在所述电介质层中的开口,所述开口具有下部和上部,所述开口暴露所述衬底和附加互连结构的至少其中之一中的导电区,
包括在所述开口的所述下部中的插塞,所述插塞包括钴;
在侧壁上的粘附层,所述粘附层包括锰;以及
在所述插塞上并且包括在所述开口的所述上部中的填充材料,所述填充材料包括钴。
23.根据权利要求22所述的结构,其中,所述粘附层直接接触所述插塞和所述电介质。
24.根据权利要求22所述的结构,其中,所述粘附层位于所述插塞与所述填充材料之间。
25.根据权利要求22所述的互连结构,包括直接形成在所述粘附层和所述填充材料的顶部上的电介质层,所述电介质层包括锰;其中,所述填充材料也包括锰。
CN201580002697.9A 2013-12-20 2015-02-21 基于钴的互连及其制造方法 Active CN106068549B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202210020138.2A CN114361132A (zh) 2013-12-20 2015-02-21 基于钴的互连及其制造方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/137,526 US9997457B2 (en) 2013-12-20 2013-12-20 Cobalt based interconnects and methods of fabrication thereof
PCT/IB2015/000198 WO2015092780A1 (en) 2013-12-20 2015-02-21 Cobalt based interconnects and methods of fabrication thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202210020138.2A Division CN114361132A (zh) 2013-12-20 2015-02-21 基于钴的互连及其制造方法

Publications (2)

Publication Number Publication Date
CN106068549A true CN106068549A (zh) 2016-11-02
CN106068549B CN106068549B (zh) 2022-02-11

Family

ID=53400881

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202210020138.2A Pending CN114361132A (zh) 2013-12-20 2015-02-21 基于钴的互连及其制造方法
CN201580002697.9A Active CN106068549B (zh) 2013-12-20 2015-02-21 基于钴的互连及其制造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202210020138.2A Pending CN114361132A (zh) 2013-12-20 2015-02-21 基于钴的互连及其制造方法

Country Status (7)

Country Link
US (5) US9997457B2 (zh)
EP (2) EP3084810B1 (zh)
JP (1) JP6652245B2 (zh)
KR (3) KR20170110000A (zh)
CN (2) CN114361132A (zh)
TW (1) TWI610398B (zh)
WO (1) WO2015092780A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108493151A (zh) * 2017-02-20 2018-09-04 格芯公司 跳通孔结构
CN110021554A (zh) * 2017-11-30 2019-07-16 台湾积体电路制造股份有限公司 用于半导体器件的接触插塞及其形成方法
CN110024106A (zh) * 2016-12-30 2019-07-16 英特尔公司 带有转化的衬里的自对准硬掩模
CN111261574A (zh) * 2018-12-03 2020-06-09 长鑫存储技术有限公司 一种半导体结构及其制作方法

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9997457B2 (en) * 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
WO2015195081A1 (en) * 2014-06-16 2015-12-23 Intel Corporation Seam healing of metal interconnects
US9601430B2 (en) 2014-10-02 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US20160276156A1 (en) * 2015-03-16 2016-09-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing process thereof
US9722038B2 (en) * 2015-09-11 2017-08-01 International Business Machines Corporation Metal cap protection layer for gate and contact metallization
US9484255B1 (en) * 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9741577B2 (en) 2015-12-02 2017-08-22 International Business Machines Corporation Metal reflow for middle of line contacts
TWI637899B (zh) * 2015-12-15 2018-10-11 村田製作所股份有限公司 微機電裝置和製造其之方法
US10446496B2 (en) 2016-02-17 2019-10-15 International Business Machines Corporation Self-forming barrier for cobalt interconnects
US9837350B2 (en) * 2016-04-12 2017-12-05 International Business Machines Corporation Semiconductor interconnect structure with double conductors
US10438849B2 (en) * 2016-04-25 2019-10-08 Applied Materials, Inc. Microwave anneal to improve CVD metal gap-fill and throughput
US10438847B2 (en) * 2016-05-13 2019-10-08 Lam Research Corporation Manganese barrier and adhesion layers for cobalt
US9799555B1 (en) * 2016-06-07 2017-10-24 Globalfoundries Inc. Cobalt interconnects covered by a metal cap
US10049927B2 (en) * 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
US10079208B2 (en) * 2016-07-28 2018-09-18 Globalfoundries Inc. IC structure with interface liner and methods of forming same
US10049974B2 (en) * 2016-08-30 2018-08-14 International Business Machines Corporation Metal silicate spacers for fully aligned vias
US9953864B2 (en) 2016-08-30 2018-04-24 International Business Machines Corporation Interconnect structure
JP6559107B2 (ja) * 2016-09-09 2019-08-14 東京エレクトロン株式会社 成膜方法および成膜システム
US9899317B1 (en) 2016-09-29 2018-02-20 International Business Machines Corporation Nitridization for semiconductor structures
US9741609B1 (en) 2016-11-01 2017-08-22 International Business Machines Corporation Middle of line cobalt interconnection
US10128151B2 (en) * 2016-12-16 2018-11-13 Globalfoundries Inc. Devices and methods of cobalt fill metallization
US10177030B2 (en) * 2017-01-11 2019-01-08 International Business Machines Corporation Cobalt contact and interconnect structures
KR102292645B1 (ko) * 2017-03-09 2021-08-24 삼성전자주식회사 집적회로 소자
US10109490B1 (en) * 2017-06-20 2018-10-23 Globalfoundries Inc. Cobalt interconnects formed by selective bottom-up fill
US10553481B2 (en) 2017-08-31 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Vias for cobalt-based interconnects and methods of fabrication thereof
US20190096820A1 (en) * 2017-09-28 2019-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Hardened interlayer dielectric layer
US11348828B2 (en) * 2017-11-23 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method of forming the same
US10541199B2 (en) 2017-11-29 2020-01-21 International Business Machines Corporation BEOL integration with advanced interconnects
US10340183B1 (en) 2018-01-02 2019-07-02 Globalfoundries Inc. Cobalt plated via integration scheme
US20190363048A1 (en) * 2018-05-22 2019-11-28 Lam Research Corporation Via prefill in a fully aligned via
US11501999B2 (en) * 2018-09-28 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Cobalt fill for gate structures
US10971398B2 (en) * 2018-10-26 2021-04-06 International Business Machines Corporation Cobalt interconnect structure including noble metal layer
FR3092589A1 (fr) 2019-02-08 2020-08-14 Aveni Electrodéposition d’un alliage de cobalt et utilisation en microélectronique
JP7273170B2 (ja) 2019-02-08 2023-05-12 アヴニ コバルト又は銅合金の電着、及びマイクロエレクトロニクスにおける使用
US10818589B2 (en) 2019-03-13 2020-10-27 International Business Machines Corporation Metal interconnect structures with self-forming sidewall barrier layer
US11292938B2 (en) 2019-09-11 2022-04-05 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of selective chemical mechanical polishing cobalt, zirconium oxide, poly-silicon and silicon dioxide films
US11189589B2 (en) * 2019-09-25 2021-11-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure with raised implanted region and manufacturing method thereof
US11152257B2 (en) * 2020-01-16 2021-10-19 International Business Machines Corporation Barrier-less prefilled via formation
US11581258B2 (en) * 2021-01-13 2023-02-14 Nanya Technology Corporation Semiconductor device structure with manganese-containing interconnect structure and method for forming the same
EP4288999A1 (en) 2021-02-08 2023-12-13 MacDermid Enthone Inc. Method and wet chemical compositions for diffusion barrier formation
US20240063285A1 (en) * 2022-08-22 2024-02-22 Nanya Technology Corporation Semiconductor device with assistant cap and method for fabricating the same

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1971901A (zh) * 2005-11-21 2007-05-30 索尼株式会社 半导体器件及其制造方法
CN101521175A (zh) * 2008-02-29 2009-09-02 台湾积体电路制造股份有限公司 半导体装置及其形成方法
US20100164119A1 (en) * 2008-12-26 2010-07-01 Fujitsu Microelectronics Limited Semiconductor device and method of manufacturing the same
US20120141667A1 (en) * 2010-07-16 2012-06-07 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US20140084466A1 (en) * 2012-09-24 2014-03-27 Tokyo Electron Limited Manganese silicate film forming method, processing system, semiconductor device manufacturing method and semiconductor device
US20140183738A1 (en) * 2012-12-28 2014-07-03 Christopher J. Jezewski Cobalt based interconnects and methods of fabrication thereof
CN104051336A (zh) * 2013-03-15 2014-09-17 应用材料公司 用于在半导体装置中产生互连的方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020019127A1 (en) * 1997-02-14 2002-02-14 Micron Technology, Inc. Interconnect structure and method of making
WO2004099467A1 (de) * 2003-05-09 2004-11-18 Basf Aktiengesellschaft Zusammensetzungen zur stromlosen abscheidung ternärer materialien für die halbleiterindustrie
US7304388B2 (en) 2003-06-26 2007-12-04 Intel Corporation Method and apparatus for an improved air gap interconnect structure
US7972970B2 (en) * 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US6967131B2 (en) * 2003-10-29 2005-11-22 International Business Machines Corp. Field effect transistor with electroplated metal gate
JP5096669B2 (ja) * 2005-07-06 2012-12-12 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP4197694B2 (ja) 2005-08-10 2008-12-17 株式会社東芝 半導体装置およびその製造方法
KR100714476B1 (ko) * 2005-11-25 2007-05-07 삼성전자주식회사 반도체 장치 및 그 제조 방법
JP5076482B2 (ja) * 2006-01-20 2012-11-21 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4740071B2 (ja) 2006-08-31 2011-08-03 株式会社東芝 半導体装置
US7964496B2 (en) * 2006-11-21 2011-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Schemes for forming barrier layers for copper in interconnect structures
CN103151335B (zh) * 2007-04-09 2016-09-28 哈佛学院院长等 用于铜互连的氮化钴层及它们的形成方法
JP2009147137A (ja) * 2007-12-14 2009-07-02 Toshiba Corp 半導体装置およびその製造方法
JP5358950B2 (ja) * 2008-01-07 2013-12-04 富士通セミコンダクター株式会社 半導体装置の製造方法及び半導体装置
US7843063B2 (en) * 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
US7867891B2 (en) 2008-12-10 2011-01-11 Intel Corporation Dual metal interconnects for improved gap-fill, reliability, and reduced capacitance
JP4415100B1 (ja) 2008-12-19 2010-02-17 国立大学法人東北大学 銅配線、半導体装置および銅配線形成方法
US8531033B2 (en) 2009-09-07 2013-09-10 Advanced Interconnect Materials, Llc Contact plug structure, semiconductor device, and method for forming contact plug
US7956463B2 (en) * 2009-09-16 2011-06-07 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings
US8653663B2 (en) 2009-10-29 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US8772942B2 (en) 2010-01-26 2014-07-08 International Business Machines Corporation Interconnect structure employing a Mn-group VIIIB alloy liner
US20110266676A1 (en) 2010-05-03 2011-11-03 Toshiba America Electronic Components, Inc. Method for forming interconnection line and semiconductor structure
US8508018B2 (en) * 2010-09-24 2013-08-13 Intel Corporation Barrier layers
JP5734757B2 (ja) 2011-06-16 2015-06-17 株式会社東芝 半導体装置及びその製造方法
US8546885B2 (en) * 2011-07-25 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate electrode of a field effect transistor
JP6360276B2 (ja) * 2012-03-08 2018-07-18 東京エレクトロン株式会社 半導体装置、半導体装置の製造方法、半導体製造装置
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8736056B2 (en) * 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US9362228B2 (en) * 2013-10-22 2016-06-07 Globalfoundries Inc. Electro-migration enhancing method for self-forming barrier process in copper metalization
US9997457B2 (en) * 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1971901A (zh) * 2005-11-21 2007-05-30 索尼株式会社 半导体器件及其制造方法
CN101521175A (zh) * 2008-02-29 2009-09-02 台湾积体电路制造股份有限公司 半导体装置及其形成方法
US20100164119A1 (en) * 2008-12-26 2010-07-01 Fujitsu Microelectronics Limited Semiconductor device and method of manufacturing the same
US20120141667A1 (en) * 2010-07-16 2012-06-07 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US20140084466A1 (en) * 2012-09-24 2014-03-27 Tokyo Electron Limited Manganese silicate film forming method, processing system, semiconductor device manufacturing method and semiconductor device
US20140183738A1 (en) * 2012-12-28 2014-07-03 Christopher J. Jezewski Cobalt based interconnects and methods of fabrication thereof
CN104051336A (zh) * 2013-03-15 2014-09-17 应用材料公司 用于在半导体装置中产生互连的方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110024106A (zh) * 2016-12-30 2019-07-16 英特尔公司 带有转化的衬里的自对准硬掩模
CN110024106B (zh) * 2016-12-30 2024-01-23 英特尔公司 带有转化的衬里的自对准硬掩模
CN108493151A (zh) * 2017-02-20 2018-09-04 格芯公司 跳通孔结构
CN110021554A (zh) * 2017-11-30 2019-07-16 台湾积体电路制造股份有限公司 用于半导体器件的接触插塞及其形成方法
US10847413B2 (en) 2017-11-30 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact plugs for semiconductor device
CN110021554B (zh) * 2017-11-30 2021-05-07 台湾积体电路制造股份有限公司 用于半导体器件的接触插塞及其形成方法
US11756864B2 (en) 2017-11-30 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs for semiconductor device
CN111261574A (zh) * 2018-12-03 2020-06-09 长鑫存储技术有限公司 一种半导体结构及其制作方法

Also Published As

Publication number Publication date
EP3084810A1 (en) 2016-10-26
KR102526836B1 (ko) 2023-04-27
US20150179579A1 (en) 2015-06-25
KR20170110000A (ko) 2017-10-10
EP3907755A2 (en) 2021-11-10
US11862563B2 (en) 2024-01-02
EP3084810A4 (en) 2017-09-06
US10700007B2 (en) 2020-06-30
JP6652245B2 (ja) 2020-02-19
KR20210152021A (ko) 2021-12-14
US9997457B2 (en) 2018-06-12
US20180211918A1 (en) 2018-07-26
US20200286836A1 (en) 2020-09-10
JP2016541113A (ja) 2016-12-28
US20240145391A1 (en) 2024-05-02
TW201533845A (zh) 2015-09-01
WO2015092780A1 (en) 2015-06-25
US20220238451A1 (en) 2022-07-28
EP3084810B1 (en) 2021-04-28
US11328993B2 (en) 2022-05-10
CN114361132A (zh) 2022-04-15
TWI610398B (zh) 2018-01-01
CN106068549B (zh) 2022-02-11
EP3907755A3 (en) 2022-01-19
KR20230054492A (ko) 2023-04-24

Similar Documents

Publication Publication Date Title
CN106068549A (zh) 基于钴的互连及其制造方法
TWI538144B (zh) 含鈷互連及其製造方法
TWI587393B (zh) 無障蔽單相互連體
US10862030B2 (en) Semiconductor devices comprising silver
US7538024B2 (en) Method of fabricating a dual-damascene copper structure
US11177163B2 (en) Top via structure with enlarged contact area with upper metallization level

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant