TWI598996B - 於半導體元件中製作互連之方法 - Google Patents

於半導體元件中製作互連之方法 Download PDF

Info

Publication number
TWI598996B
TWI598996B TW103109957A TW103109957A TWI598996B TW I598996 B TWI598996 B TW I598996B TW 103109957 A TW103109957 A TW 103109957A TW 103109957 A TW103109957 A TW 103109957A TW I598996 B TWI598996 B TW I598996B
Authority
TW
Taiwan
Prior art keywords
layer
metal
workpiece
metallization layer
metallization
Prior art date
Application number
TW103109957A
Other languages
English (en)
Other versions
TW201448119A (zh
Inventor
艾密許伊斯梅爾T
夏維羅伊
那克美荷
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201448119A publication Critical patent/TW201448119A/zh
Application granted granted Critical
Publication of TWI598996B publication Critical patent/TWI598996B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

於半導體元件中製作互連之方法
用於製造半導體裝置的方法。
本公開內容涉及在半導體裝置中產生互連(interconnect)的方法。這些互連可由選擇的金屬和金屬合金組成,該金屬和金屬合金通過電鍍和後面的熱擴散被沉積在工件特徵結構(feature)中。這樣的互連可包括置於溝槽(trench)或過孔(via)之上的選擇性金屬帽(cap)。這些互連還可包括在過孔之上電鍍蝕刻終止(etch stop)物以構建對準容差(alignment-tolerant)過孔。
積體電路(IC)包括各種半導體裝置,該半導體裝置形成在覆蓋基板的介電材料層內或介電材料層上。可在介電層中或介電層上形成的這樣的裝置包括MRS電晶體、雙極電晶體、二極體和擴散電阻器。可在介電材料中或介電材料上形成的其他裝置包括薄膜電阻器和電容器。金屬線將該半導體裝置互連以驅動(power)這樣的裝置並且使這樣的裝置能夠共用和交換資訊。這樣的互連在介電層內的各裝置之間水平延伸,也在各介電層之間垂直延伸。這些金屬線通過一系列 互連彼此連接。電互連或金屬線首先被圖案化到介電層中以形成垂直的和水平的凹槽化(recessed)特徵結構(過孔和溝槽),該凹槽化特徵結構隨後被填充有金屬。包含金屬填充線、存在於電介質中的所得層被稱為金屬化層。
接著,第二金屬化層類似地形成在第一金屬化層的 頂上並且在這兩個金屬化層之間形成互連。可用這種製程形成包含幾個金屬化層的堆疊,該幾個金屬化層通過多個互連彼此電連接。這種製程被稱為鑲嵌(Damascene)處理。鑲嵌處理通常採用銅(Cu)作為金屬化金屬。然而,也可使用其他金屬,包括鋁(Al)、鈷(Co)、鎳(Ni)、金(Au)、銀(Ag)、錳(Mn)、錫(Sn)和上述金屬的合金。
例如由銅形成金屬互連或金屬線的典型製程需要幾 個步驟。最初,在介電基板中圖案化和形成垂直特徵結構和水平特徵結構(過孔和溝槽)。最後用銅填充過孔和溝槽,但是預先將阻擋層和種晶(seed)層施加到該特徵結構。因為銅傾向於擴散進入介電材料中,所以用阻擋層把銅沉積物與介電材料隔離。銅擴散進入周圍的介電材料會導致線間洩露(line-to-line leakage)以及半導體裝置的最後破壞。因此,通常用擴散阻擋物把銅線完全包圍或封裝起來。然而,如果將其他金屬用於金屬化,可以理解的是,阻擋層可以不需要。 阻擋層通常由耐火金屬或耐火化合物製成,例如鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鉭(TaN)等。通常使用稱為物理氣相沉積(PVD)的沉積技術形成阻擋層,但也可使用諸如化學氣相沉積(CVD)或原子層沉積(ALD)之類的其他沉積技術形成阻 擋層。
種晶層可沉積於阻擋層上。種晶層的目的可以是: 提供低電阻電氣路徑,該低電阻電氣路徑使阻擋層之上的電鍍能夠更均勻;和/或幫助銅或其他溝槽或過孔材料很好地附著於阻擋層,從而提供連續的可電鍍膜以在上面電鍍。因此,種晶層可由銅或者諸如銅錳、銅鈷或銅鎳之類的銅合金組成。種晶層也可由鋁或鋁合金組成。此外,對於沉積種晶層,存在多種選擇,諸如使用PVD用於銅種晶層沉積。也可通過使用諸如CVD或ALD之類的其他沉積技術形成種晶層。
種晶層可以是堆疊膜,例如襯墊(liner)層和PVD種 晶層。襯墊層是用在阻擋層上的材料或用在阻擋層與PVD種晶層之間的材料,以減輕不連續的種晶問題並改善PVD種晶對阻擋層的粘附。襯墊層通常由諸如釕(Ru)、鉑(Pt)、鈀(Pd)和鋨(Os)之類的貴金屬組成。襯墊也可由Co或Ni組成。目前,CVD Ru和CVD Co通常被用於構建襯墊;然而,也可通過使用其他沉積技術(包括ALD或PVD)形成襯墊層。
種晶層也可以是次級種晶(secondary seed)層,與襯 墊層類似,次級種晶層通常由諸如Ru、Pt、Pd或Os之類的貴金屬形成。然而,也可使用其他材料,包括Co和Ni,而且通常也使用CVD Ru和CVD Co。像在種晶層和襯墊層的情形中一樣,也可使用ALD、PVD或其他沉積技術形成次級種晶層。次級種晶層與襯墊層的不同之處在於次級種晶層實際上充當種晶層,而襯墊層是阻擋層與PVD種晶層之間的中間層。
在已沉積種晶層之後,可使用例如酸沉積化學物 (acid deposition chemistry,「ECD」)條件下的電化學沉積來用銅填充該特徵結構。傳統的ECD銅酸化學物(acid chemistry)可以包括例如硫酸銅、硫酸(sulfuric acid)、鹽酸和有機添加劑(諸如促進劑(accelerator)、抑制劑(suppressor)和平衡劑(leveler))。銅的電化學沉積已被發現是一種用來沉積銅金屬化層的具成本效益的方式。除了在經濟上是可行的,ECD技術提供了實質上「自下而上(bottom up)」(例如,非共形的(noncomformal))的金屬填充,該金屬填充在機械方面和電學方面適合於互連結構。
在IC技術的改進中長期以來的目標一直是IC尺寸 的縮小。IC尺寸的這種縮小對獲得更高速性能的IC而言是關鍵的。IC性能的提高通常伴隨有裝置面積的減小和/或裝置密度的增大。裝置密度的增大要求用於形成互連的過孔和溝槽尺寸(寬度)減小。然而,隨著晶片上的特徵結構尺寸減小,有可能要承受負面的結果。例如,尺寸減小的特徵結構可導致較不可靠的互連。
傳統的用以產生互連的銅填充可導致空隙(void),尤 其是在尺寸小於30nm的特徵結構中導致空隙。作為使用傳統銅沉積形成的一種類型的空隙的一個實例,該特徵結構的開口可能夾斷(pinch off)。在小特徵結構中使用傳統的銅填充製程也可導致其他類型的空隙。使用傳統的銅填充技術形成的沉積物的其他固有特性和這樣的空隙可增加互連的電阻,從而使裝置的電性能衰退以及降低銅互連的可靠性。
互連的不斷縮小的進一步結果是電遷移損壞 (electromigration failure)。電遷移使互連中的銅重新分佈並產生能夠擴展進入介電空間的擠出(extrusion)。通常,當電路工作時,在導電線的金屬原子經受高電流密度時發生電遷移。 如果電流密度足夠高,則金屬原子沿電子流動的方向遷移,從而在金屬離子已經離開的地方形成空隙,以及形成由沿金屬互連的長度伸出到金屬或者介電阻擋層之外的金屬材料構成的擠出。空隙將導致銅互連變薄並最終完全分離,導致斷路。此外,擠出可導致銅金屬延伸超過銅互連並進入鄰近的銅線,從而導致短路。
隨著積體電路的日益小型化,因電遷移產生的互連 損壞的可能性隨著銅互連而增加,因為損壞是由較小的空隙導致的。這就需要對電遷移損壞的補救。
一旦空隙開始在金屬線中出現,導電金屬就在那個 點變得更窄。由於導體截面的減小,通過該線的電流密度在變窄位置處增加。因此,由於焦耳熱(Joule heating)的緣故,互連溫度提高。隨著互連的溫度上升,空隙的生長加速,導致惡性循環,該惡性循環最終導致斷路。
減小或最小化電遷移的一種解決方案是在銅填充物 之上施加金屬帽。然而,產生金屬帽的製程可能是費時的並且昂貴的。或許更重要的是,在現有的用於產生金屬帽的方法中,金屬殘留物可能留下,在各金屬線之間延伸,最終導致短路或其他損壞發生。
在形成金屬帽的一種方法中,在金屬襯墊已被沉積 於特徵結構的側壁和底表面上之後,金屬層被電鍍到金屬襯墊上以用例如銅填充該特徵結構。通常,金屬層覆蓋其中存在特徵結構的介電層。因此,需要平坦化金屬襯墊以與介電表面的頂層是同延的(coextensive)。這可由例如化學機械拋光(CMP)進行。結果,金屬層的頂表面於是就實質上與介電層頂表面是共面的(coplanar)。
接著,通過對金屬襯墊和介電層有選擇性的蝕刻製 程,使金屬線凹至介電層頂表面的水平以下。以這種方式,相對於從金屬線的表面移除材料的量,移除金屬襯墊和介電層的量是微不足道的。接著,在金屬線的凹槽化表面之上以及金屬襯墊的側壁的頂部邊緣之上和介電層頂表面之上沉積帽層。通常,帽層的厚度從約5nm至約100nm,但更常見的從約12nm至約50nm。接著,進行進一步的平坦化製程以使得帽的頂表面與介電層的頂表面是同延的。
在實現用於互連的銅上或其他導體上的金屬帽另一 種製程中,在銅以別的方式被鍍到或沉積到介電材料中形成的特徵結構中之後,晶片例如被CMP處理平坦化。此後接著,在金屬覆蓋膜(blanket film)之上形成另外的薄介電帽。接著,在該薄介電帽之上沉積光刻膠塗層,並且使用光刻(lithographic)掩模執行光刻曝光製程。以這種方式,各銅線之間的Ta/TaN金屬帽被蝕刻掉,使金屬帽只留在銅線之上。然而,在這個製程中,常常可能在各銅線之間留下殘留物,最終可能導致使各線短路或其他可靠性問題,尤其是當各線變得越來越薄時。
當然,解決銅金屬化的缺陷的一種方式是使用銅合 金或除銅以外的金屬,例如Co、Ni、Mn、Sn、Au、Ag、Al或上述金屬的合金。像鍍銅一樣,在小特徵結構中鍍或以別的方式沉積這些金屬可由於包括空隙的缺陷的形成而導致品質低劣的互連。正如上面提到的,這些空隙可降低半導體電路的性能並且也降低金屬互連的可靠性。
增加IC裝置的密度的另一個結果是,使避免在各鄰 近的半導體層中的互連變得更加困難。因而,當定位用於互連的半導體裝置和/或特徵結構時,很難避免在先有的層上的互連。如果對準容差過孔能被結合到互連中,這將會是期望的。
本發明意在解決上述問題,而且對用在半導體製造 中的互連提供改進的性能和可靠性。
提供本發明內容用來以簡化的形式介紹一些選擇的構思,這些構思將在以下在具體實施方式中進一步描述。本發明內容並不意在標識出所主張的標的的關鍵特徵,也不意在用作判定所主張的標的的範圍時的輔助內容。
一種用於在工件中形成互連的方法包括:獲得其中具有特徵結構的工件基板,在該特徵結構中沉積金屬導電層以部分地或完全地填充該特徵結構,如果該特徵結構被該導電層部分地填充則沉積金屬填充物以完成該特徵結構的填充,施加銅或其他金屬覆蓋物,對該工件進行退火,然後使用CMP移除該覆蓋物並減小工件的高度以暴露該基板和金屬 化的特徵結構。
根據本公開內容的另一個態樣,用於該導電層的金 屬選自由銅、鈷、鎳、金、銀、錳、錫、鋁和上述金屬的合金組成的組。
在本公開內容的另一個態樣中,該導電層部分地填 充該特徵結構,然後在施加銅或其他金屬覆蓋物之前,金屬合金被沉積以進一步部分地填充或完全填充該特徵結構。
在本公開內容的另一個態樣中,對工件的退火以受 控的方式進行以限制合金材料到該特徵結構的上部的擴散。
根據本公開內容的另一個態樣,進行對工件的退火 以使金屬層的合金均勻地擴散遍及整個特徵結構。
在本公開內容的另一個態樣中,對工件熱處理以引 起導電層回流到該特徵結構中,以構建共形的導電層。
在另一個態樣中,本公開內容提供一種用於填充工 件中特徵結構的方法,該方法包括:獲得包括用於互連的特徵結構的工件基板,在該特徵結構中沉積金屬導電層以部分地或完全地填充該特徵結構,如果該特徵結構被該金屬導電層部分地填充則沉積金屬填充物,將金屬覆蓋物施加到該工件上,包括覆蓋該特徵結構,在用以退火該工件的條件下熱處理該工件,以及使用CMP移除該覆蓋物並暴露該基板的上表面。
根據本公開內容的另一個態樣,該導電層部分地填 充該特徵結構,然後在施加該金屬填充物或金屬覆蓋物之前,沉積金屬合金以進一步部分地填充或完全填充該特徵結 構。
在本公開內容的另一個態樣中,該金屬合金是蝕刻 終止材料。
在本公開內容的另一個態樣中,金屬合金選自由銅 合金、鈷合金、鎳合金、金合金、銀合金、錳合金、錫合金和鋁合金組成的組。
100‧‧‧回流製程
102‧‧‧步驟
104‧‧‧步驟
106‧‧‧步驟
108‧‧‧步驟
112‧‧‧工件
114‧‧‧阻擋層
115‧‧‧種晶層
116‧‧‧ECD種晶材料
118‧‧‧填充部
122‧‧‧特徵結構
200‧‧‧製程
202‧‧‧步驟
204‧‧‧工件
206‧‧‧電介質
208‧‧‧特徵結構
210‧‧‧阻擋層
212‧‧‧種晶層
214‧‧‧步驟
216‧‧‧銅/填充物
218‧‧‧ECD種晶材料
220‧‧‧步驟
222‧‧‧銅合金
224‧‧‧步驟
226‧‧‧覆蓋層
228‧‧‧步驟
232‧‧‧步驟
234‧‧‧帽
236‧‧‧頂表面
300‧‧‧製程
302‧‧‧步驟
304‧‧‧工件
306‧‧‧電介質
308‧‧‧特徵結構
310‧‧‧阻擋層
312‧‧‧種晶層
313‧‧‧部分填充物
314‧‧‧步驟
320‧‧‧步驟
322‧‧‧銅合金
324‧‧‧步驟
326‧‧‧建覆蓋層
328‧‧‧步驟
330‧‧‧步驟
332‧‧‧步驟
334‧‧‧互連
336‧‧‧頂表面
400‧‧‧製程
402‧‧‧步驟
404‧‧‧工件
406‧‧‧電介質
408‧‧‧特徵結構
410‧‧‧阻擋層
412‧‧‧種晶層
414‧‧‧步驟
416‧‧‧鈷
420‧‧‧步驟
424‧‧‧步驟
426‧‧‧覆蓋層
428‧‧‧步驟
432‧‧‧步驟
434‧‧‧互連
436‧‧‧頂部
500‧‧‧製程
502‧‧‧步驟
504‧‧‧工件
506‧‧‧介電層
507‧‧‧介電層
508‧‧‧過孔
510‧‧‧阻擋層
512‧‧‧種晶層
513‧‧‧金屬導體
514‧‧‧步驟
520‧‧‧步驟
522‧‧‧步驟
526‧‧‧覆蓋層
532‧‧‧步驟
534‧‧‧選擇性帽
536‧‧‧頂表面
540‧‧‧特徵結構
542‧‧‧特徵結構
544‧‧‧介電層
當結合附圖時,隨著本發明的上述態樣和許多相關的優點通過參照以下的具體實施方式變得被更好地理解,本發明的上述態樣和許多相關的優點變得更容易領悟,其中:圖1是繪示本公開內容的示例性實施方式的初始製程步驟和示例性特徵結構形成的示意性流程圖;圖2是可與先前技術製程和根據本公開內容的實施方式的製程結合使用的示例性初始製程步驟的比較圖表;圖3是繪示使用先前技術主鑲嵌製程(包括阻擋層沉積和種晶沉積)的初始製程步驟和示例性特徵結構形成的示意性製程圖;圖4是繪示使用先前技術SLE(也稱為ECD種晶)製程(包括阻擋層沉積、種晶沉積和ECD種晶沉積)的初始製程步驟和示例性特徵結構形成的示意性製程圖;圖5是繪示使用先前技術ECD種晶製程(包括阻擋層沉積、次級種晶沉積和ECD種晶沉積)的初始製程步驟和示例性特徵結構形成的示意性製程圖;圖6是繪示使用先前技術在次級種晶上沉積有快閃 (flash)層製程(包括阻擋層沉積、次級種晶沉積和快閃沉積)的初始製程步驟和示例性特徵結構形成的示意性製程圖;圖7是繪示本公開內容的一些示例性實施方式的製程步驟和示例性特徵結構形成的示意性製程圖,結合有ECD種晶「外加(plus)」製程;圖8是繪示圖1-7所示的初始步驟的後續製程步驟的示意性製程圖,其中本製程用於將選擇的金屬帽施加至雙鑲嵌結構;圖9是與圖8所示相似的示意性製程圖,圖示了青銅(bronze)或其他合金互連的沉積;圖10是繪示本公開內容的另一種方法的示意性製程圖,繪示了用於鈷或鈷合金互連的沉積的製程順序(process sequence);圖11A-11G是與圖8-10類似的示意性製程圖,但能應用于涉及對準容差過孔的構建的單鑲嵌結構;和圖12是圖示本公開內容在產生覆蓋有另外的金屬化層的對準容差過孔方面的方法的結果的結構示意圖。
本公開內容的實施方式涉及諸如半導體晶片之類的工件、用於處理工件的裝置或處理元件、以及處理工件的方法。術語工件、晶片和半導體晶片是指任何平的介質或物件,包括半導體晶片和其他基板或晶片、玻璃、掩模和光學介質或存儲介質、MEMS基板、或任何其他具有微電裝置、微機械裝置或微電子機械裝置的工件。
本文描述的製程是用於在包括溝槽和過孔的工件的 特徵結構中產生互連。在本公開內容的一個實施方式中,該製程可用於產生小特徵結構互連,例如,具有小於30nm的寬度或直徑的特徵結構。然而,應理解的是,本公開內容的製程能應用於任何特徵結構尺寸。本申請中所討論的尺寸大小是在該特徵結構的頂部開口處的蝕刻後(post-etch)特徵結構尺寸。本文描述的製程可應用于例如鑲嵌應用(單鑲嵌應用和雙鑲嵌應用二者)中各種形式的銅、鈷、鎳、金、銀、錳、錫、鋁和合金沉積。在本公開內容的實施方式中,鑲嵌特徵結構可選自由具有以下尺寸的特徵結構組成的組:小於30nm、約5nm至小於30nm、約10nm至小於30nm、約15nm至約20nm、約20nm至小於30nm、小於20nm、小於10nm,以及約5nm至約10nm。
應理解的是,此處使用的描述性術語「微特徵結構 工件」和「工件」包括在處理中已被先前沉積並在給定點形成的所有結構和層,並不僅僅限於那些附圖中所示的結構和層。
在本申請中,儘管通常描述為金屬沉積,應理解的 是術語「金屬」也考慮金屬合金。這樣的金屬和金屬合金可用于形成種晶層或用於完全地或部分地填充該特徵結構。示例性的銅合金可包括但不限於銅錳合金和銅鋁合金。作為非限制性的實例,合金組成比率(alloy composition ratio)可以在以下範圍內:與主要合金金屬(例如Cu、Co、Ni、Ag、Au、Mn、Sn或Al)相比,約0.5%至約6%的次要合金金屬。
如上所述,金屬互連的傳統製造方法可包括:將阻擋層適當沉積在介電材料上以防止金屬擴散到介電材料中。適當的阻擋層可包括例如Ta、Ti、TiN、TaN、Mn或MnN。適當的阻擋層沉積方法可包括PVD、ALD和CVD;然而,PVD是用於阻擋層沉積的最常用的製程。阻擋層通常被用於將銅或銅合金與介電材料隔離;然而,應理解的是,在其他金屬互連的情形下,擴散可能不是問題,因而可以不需要阻擋層。
阻擋層沉積之後可以是可選擇的種晶層沉積。在特徵結構中沉積金屬的情形下,對於種晶層有幾種選擇。如上所述,種晶層可以是:(1)種晶層(作為非限制性實例,PVD銅種晶層),(2)由襯墊層和種晶層組成的堆疊膜(作為非限制性實例,CVD Ru襯墊層和PVD銅種晶層),或(3)次級種晶層(作為非限制性實例,CVD或ALD Ru次級種晶層)。然而,應理解的是,本公開內容也考慮到沉積這些示例性種晶層的其他方法。
種晶層可以是金屬層,諸如銅、鈷、鎳、金、銀、錳、錫、鋁、釕和上述金屬的合金。
如上所述,襯墊層是用作可替代種晶的一種材料或用於幫助減輕不連續的種晶問題並改善種晶層的粘附。襯墊通常是諸如Ru、Pt、Pd和Os之類的貴金屬,但此清單可以還包括Co和Ni。目前,CVD Ru和CVD Co是常用的襯墊;然而,也可通過使用諸如PVD或ALD之類的其他沉積技術形成襯墊層。對於鑲嵌應用,襯墊層的厚度可以在大約5埃(Ångstrom)至50埃的範圍內。
同樣如上所述,次級種晶層與襯墊層類似的是,通 常由諸如Ru、Pt、Pd和Os之類的貴金屬形成,但此清單可以還包括Co和Ni,而且也常用CVD Ru和CVD Co。不同之處在於次級種晶層充當種晶層,而襯墊層是阻擋層與種晶層之間的中間層。也可通過使用除CVD之外的諸如PVD或ALD之類的沉積技術形成次級種晶層。
可在組成氣體(forming gas)環境(例如,氮中3-5% 氫或氦中3-5%氫)下、在約100℃至約500℃的溫度下對襯墊或次級種晶沉積物進行熱處理或退火,以移除任何表面氧化物、使次級種晶或襯墊層密實(densify)、以及提高該沉積物的表面特性。襯墊或次級種晶沉積物可另外通過浸在氣態氮(N2氣體)中或其他鈍化(passifying)環境中被鈍化,以防止表面氧化。發佈於2013年1月22日的美國專利第8357599號中描述了襯墊或次級種晶的鈍化,通過引用將該專利的公開內容的整體明確結合在此。
在已沉積種晶層之後(諸如以下的非限制性實例之 一:PVD銅種晶,包括CVD Ru襯墊、或CVD Ru次級種晶的PVD銅種晶,或者另一種沉積金屬或金屬合金、層結合、或沉積技術),該特徵結構可包括種晶層之後的共形的金屬層。然而,應理解的是,共形的金屬層可直接沉積到阻擋層上,即,沒有種晶層。
在本公開內容的一個實施方式中,使用ECD種晶製 程來沉積共形的金屬層,然後可使用被稱為ECD種晶「外加」沉積(或ECD種晶「外加」)的製程來修正(modify)該共形的 金屬層,該ECD種晶「外加」沉積製程包括熱處理步驟。在本公開內容的其他實施方式中,可使用CVD、ALD或其他沉積技術來沉積共形的金屬層。根據本公開內容的實施方式,當經受熱處理或退火時,該共形的層是能流動的。
在本實施方式中,ECD種晶「外加」通常是指ECD 金屬種晶沉積外加熱處理步驟,諸如退火步驟。在本公開內容的一個實施方式中,熱處理步驟可導致一些或所有的種晶沉積回流。與傳統的ECD金屬填充(使用酸化學物)相比,ECD種晶「外加」沉積類似於ECD種晶沉積(使用鹼性化學物(basic chemistry)),但增加了熱處理步驟。此外,可進行ECD種晶「外加」以便部分地或完全地填充特徵結構,而不是僅僅沉積種晶層。使用ECD種晶「外加」製程,可實現小特徵結構的實質上無空隙的填充。在美國臨時申請第61/638851號和第61/638856號中描述了ECD種晶「外加」製程,通過引用將該美國臨時申請結合在此,並且該美國臨時申請對應於美國專利申請第13/801786號和第13/801860號,同樣通過引用將該美國專利申請結合在此。
用於ECD種晶「外加」沉積的ECD腔室中使用的 化學物可包括鹼性化學物,例如,pH值在約8至約10的範圍內的Cu(乙二胺)2,在本公開內容的一個實施方式中pH值約為9.3。然而,應理解的是,使用適當的有機添加劑的酸性化學物(acidic chemistry)也可用於實現共形的ECD種晶沉積。
在ECD種晶沉積之後,工件就可經受旋轉(spin)、 清洗和乾燥(SRD)製程處理或其他清潔製程處理。然後在一 溫度下加熱ECD種晶,該溫度熱得足以使種晶回流,但不過熱而使得工件或工件上的元件損壞或劣化。例如,該溫度可在用於該特徵結構中種晶回流的約100℃至約500℃的範圍內。適當的熱處理或退火溫度在約100℃至約500℃的範圍內,並且可由能夠保持約200℃至約400℃範圍內(至少在約250℃至約350℃的範圍內)的持續溫度的設備來完成。
可使用組成氣體或惰性氣體或諸如氨(NH3)之類的 還原氣體來執行熱處理或退火製程。在回流期間,沉積的形狀改變,使得金屬沉積物可淤積(pool)在特徵結構的底部中。 除了在熱處理製程期間回流,金屬沉積物還可生長更大的晶粒(grain)以及減小薄膜電阻率(film resistivity)。可使用惰性氣體來冷卻加熱之後的工件。
可重複ECD種晶沉積和回流步驟,以確保用ECD 種晶填充特徵結構的期望程度範圍。就此而言,在此所描述的製程可包括一個或更多個ECD種晶沉積、清潔(諸如SRD)和熱處理循環。
圖1示出回流製程100,且繪示出由該回流製程構 建的示例性特徵結構。在一示例性實施方式中,工件112可以是結晶矽基板上的介電材料,工件112包含至少一個特徵結構122。在示例性步驟102中,特徵結構122被襯以阻擋層114,然後被襯以種晶層115。在示例性步驟104中,工件112的特徵結構122已在種晶層115上接收一層ECD種晶材料116。在示例性退火步驟106中,在合適的溫度下對工件進行退火以引起示例性回流步驟108,以促進部分填充。在退火步 驟過程中,ECD種晶材料116流入特徵結構122中以形成填充部118。在示例性實施方式中,可重複ECD種晶沉積步驟104、退火步驟106和回流步驟108以達到填充部118的期望特性。重複步驟的數目可取決於結構。一旦填充部118達到期望的尺寸,就執行本製程的剩餘步驟,如下文所述。
圖2是可與本公開內容一起使用的初始、以前開發 的製程的各種組合的圖表。以前開發的製程的一些組合包括以下內容。第一,主鑲嵌(Main Damascene)製程包括阻擋層和種晶層的沉積(參見圖3)。第二,ECD種晶(亦稱為SLE)製程包括阻擋層、種晶層和ECD種晶層的沉積(參見圖4)。 第三,具有襯墊的ECD種晶(SLE)製程包括阻擋層、襯墊層、種晶層和ECD種晶層的沉積(參見圖5)。第四,具有次級種晶的ECD種晶(SLE)製程包括阻擋層、次級種晶層和ECD種晶層的沉積。第五,具有次級種晶和快閃的ECD種晶(SLE)製程包括阻擋層、次級種晶層、快閃層和ECD種晶層的沉積(參見圖6)。第六,ECD種晶(亦稱為DOB)製程包括阻擋層和ECD種晶層的沉積。
根據本公開內容的實施方式的其他先前存在的製程 包括:第七,命名為ECD種晶外加(DOB)製程的製程,該ECD種晶外加(DOB)製程包括阻擋層和ECD種晶「外加」層的沉積。第八,ECD種晶外加製程包括阻擋層、次級種晶層和ECD種晶「外加」層的沉積。第九,不具有次級種晶的ECD種晶外加製程包括阻擋層、種晶層和ECD種晶「外加」層的沉積(參見圖7)。第十,具有襯墊和種晶的ECD種晶外加製程包 括阻擋層、襯墊層、種晶層和ECD種晶「外加」層的沉積。
圖8中示出應用于雙鑲嵌應用時的本公開內容的一 個實施方式。如上文提到的且如圖8所示,本公開內容的製程200開始於步驟202,工件204具有在結晶矽晶片(未圖示)上的電介質206,如上所述,工件204已被處理到呈現已在步驟202中被鍍有阻擋層210的特徵結構208的步驟點(point),特徵結構208之後被鍍有用上文所述的方式施加的種晶層212和/或可鍍膜(參見圖8)。在該製程的下一步驟214中,用銅216或其他金屬部分地填充特徵結構208。能使用上文描述的ECD種晶「外加」製程執行此步驟214,步驟214包括施加一層ECD種晶材料218至種晶層212,然後執行熱處理。 此退火步驟引起銅或其他金屬回流進入特徵結構208中以形成部分填充部。能重複ECD種晶沉積步驟、退火步驟和回流步驟以達到填充物216的期望特性。這樣的步驟被重複的次數可取決於所期望的結構。
或者,通過使用傳統酸化學物的ECD鍍(ECD plating),能部分地填充特徵結構208,該ECD鍍通常是比使用ECD種晶更快的製程。當然,也可使用其他製程來代替銅鍍,例如,PVD或CVD。
接著,在步驟220,在部分銅(金屬)填充物之上 施加銅合金(或其他金屬合金)層222,從而構建層疊的銅結構,如圖8所示。可通過鍍或其他沉積技術來施加此銅合金層。圖8示出該銅合金層相對較薄且未填充特徵結構208至電介質206的上表面。然而,能鍍或用其他方式沉積該合金 以致特徵結構208被完全填充,而且甚至以致銅合金222在電介質上形成覆蓋物,並且另外覆蓋工件204且可能覆蓋整個工件。因此,能以各種厚度施加銅合金。被認為可取的是銅合金的最小厚度會是約10Å。
能使用鹼性化學物執行銅合金的鍍。形成合金或摻雜元素可由任何幫助減少電遷移的過渡金屬或貴金屬組成。這樣的合金可包括Ag、Au、Co、Ni、Hf、Mn、Pd、Pt、Ti、Zi或Zr,或被本領域技術人員熟知的其他金屬。亦能使用其他摻雜元素,比如Al、Ge、S、Se、Si、Sn和Te。
多於一個銅合金層將被利用,這也在本公開內容的範圍之內。例如,第一層可由第一銅合金構成,隨後是另一銅合金的第二層。並且,銅能與多於一種摻雜劑一起形成合金。例如,(這些)銅合金能由Co和AG、Co和Au、Co和Ti等構成。
能通過除電鍍之外的各種技術沉積金屬層222。這樣的技術可包括PVD、CVD或ALD沉積技術。此外,(這些)金屬層的總厚度可以是小於500Å,且能薄如20Å。
該製程中的下一步驟224是施加銅以填充特徵結構208和構建覆蓋層226,如圖8所示。儘管銅是優選的金屬化材料,但也能使用其他金屬,例如,Co、Ni、Au、Ag、Mn、Sn、W和Al。雖然用於施加金屬填充物和覆蓋層226的一種方法是通過電鍍,但也能使用其他金屬化技術,比如CVD或PVD。能以從200nm至1000nm的不同厚度施加銅覆蓋物。此厚度為CMP製程提供基礎,如下文所述。
本公開內容的該製程中的下一步驟228是對結構進 行退火。該退火製程具有幾個效果,包括合金從層222受控擴散到位於特徵結構208中下面的銅填充物216的相鄰頂部中。
在足夠高的溫度下執行退火以引起合金遷移或擴 散,但不會太熱以致工件或工件上的元件可被損壞或劣化。 在這點上,為了發生成功的退火,溫度範圍可以從約100℃至約400℃。通過使用爐或其他能夠在期望範圍內保持持續的溫度的設備來執行退火。能理解的是,退火製程的溫度及持續時間可取決於銅合金的組分和期望的合金擴散程度。
可使用組成氣體或惰性氣體、純氫、或諸如氨(NH3) 之類的還原氣體來執行退火製程。在退火期間,熱能量幫助層222中的合金金屬與銅填充物216的相鄰部分中的銅原子化學鍵合(chemical bond)。在退火製程的結尾,惰性氣體可被用於冷卻加熱後的工件。經退火的工件能改變合金層222的電特性及其他特性。
如圖8所示,在步驟232中已經執行退火後,使用 CMP工序移除覆蓋電介質的上表面上面的各材料層和銅覆蓋物。這留下與電介質206的頂表面236同延的選擇性帽234。 如上文所指,此帽通過充當分流層(shunt layer)來改善線的電遷移性能。另外,該帽促進與下一金屬化層的粘合,同樣提高電遷移性能。
此帽可具有足以執行此帽的改善電遷移性能的功能 的厚度。在一個實例中,該帽可具有從約5nm至1000nm的 厚度。
此外,通過執行CMP製程,沒有合金殘餘物殘留在 各線之間,這是優於用於生產金屬帽的現有方法的明顯優點。在帽234之上可施加進一步的金屬化層,在這種情況下,通過使用以上製程來促進金屬化層粘合至金屬帽。
圖9披露了本公開內容的另一實施方式。如圖9所 示,製程300開始於步驟302,工件304包括結晶矽晶片(未圖示)上的電介質306。如上所述,電介質306已被處理到呈現已在步驟302中首先被鍍有阻擋層310的特徵結構308的步驟點。隨後,能用以上描述的方式在阻擋層310之上施加種晶層312和/或可鍍的膜。
此製程中的下一步驟314是用銅(或其他金屬)部 分地填充特徵結構308,該銅(或其他金屬)被標記為313。 能使用以上描述的ECD種晶「外加」製程實現此部分填充物313,該ECD種晶「外加」製程包括施加一層ECD種晶材料至種晶層上,然後執行熱處理。此退火步驟引起銅向下回流進入特徵結構308中以形成部分填充部。能重複ECD種晶沉積步驟、退火步驟和回流步驟以達到填充物308的期望特性。 這樣的步驟被重複的次數可取決於所期望的部分填充物308的結構。
在以上針對圖8描述的方式下,替代地,可通過使 用傳統酸化學物的ECD鍍來部分地填充特徵結構308,該使用傳統酸化學物的ECD鍍通常比使用ECD種晶更快,但或許在消除部分填充物中的空隙及其他不連續方面不像那麼有 效。當然,可使用其他製程來代替銅鍍以獲得部分填充物313,例如PVD或CVD。
接著,在步驟320中,銅合金322被鍍在或用其他 方式沉積在部分銅填充物313之上。此步驟可與以上針對圖8描述的形成合金的步驟220相同或非常相似。如以上針對圖8所述,可使用各種形成合金的金屬或形成合金的金屬的組合。另外,多於一個金屬合金層可被鍍在或沉積在部分填充物之上。
在下一步驟324中,沉積銅(或其他金屬)以填充 特徵結構308且構建覆蓋層326,如圖9所示。用於施加銅填充物和覆蓋層326的一種方法是通過電鍍,該電鍍相對於其他沉積方法是比較快且經濟的,亦能使用其他沉積方法。
接著,在步驟328中,用以上針對圖9描述的方式 對工件304進行退火。然而,與圖9中不同,此處執行後鍍退火(post-plating anneal)以使合金322中的形成合金的元素分佈遍及設置在特徵結構308中的銅。本質上,構建銅合金(青銅)金屬化互連334,其中形成合金的元素實質上均勻地擴散遍及銅填充物。
如上文所指,用於構建銅合金的摻雜元素可包括任 何幫助減少電遷移的過渡金屬或貴金屬。這樣的金屬在上面列出。除了上面列出的那些金屬,形成合金的元素可包括任何青銅形成的元素或青銅形成的元素的組合。在這點上,為了有效地鍍青銅膜,需要將銅與另一元素共鍍(co-plate)。另外,在本公開內容的一些實施方式中,將兩種或更多種元素 與銅共鍍。為了發生有效的鍍,在多數情形中,但不是所有情形中,需要摻雜元素的絡合物(complex)。用於CuCo青銅的此種化學物的典型實例利用Co和Cu乙二胺絡合物。此類絡合物是本領域技術人員所知道的。另外,為了便於控制這些元素的共鍍以形成期望的青銅互連,鍍液的濃度和pH值被適當地調整。
在已經完成退火以致形成合金的元素在步驟330中 擴散遍及特徵結構308後,接著,在步驟332中,使用CMP工序以移除銅覆蓋物,以及電介質306上方的所有層,以使得青銅互連334的頂表面336與電介質306的頂表面共面。 這樣的互連334能提供與由上面針對圖9描述的選擇性帽332提供的優點相同的優點。在這點上,雖然青銅互連的電阻可比銅的電阻稍微有點高,但該互連較小可能遭受電遷移和電遷移的不良影響。
圖10披露了本公開內容的另一實施方式,其中鈷(Co)被用作互連材料。如圖10所示,互連製程400開始於步驟402,工件404包括結晶矽晶片(未圖示)之上的電介質406。電介質406已被處理到呈現特徵結構408的步驟點。在第一步驟402中,可選擇的阻擋層410能被施加至該特徵結構的表面。該阻擋層可由金屬或化合物構成,該金屬或化合物包括例如Mn、MnN、Ti、Ta、TiN、TaN等。能用以上描述的方式(例如,通過CVD)在阻擋層410之上施加種晶層412和/或可鍍的膜。該種晶層可由CVD Co或鈷合金構成。不使用CVD,亦能使用PVD或ALD或其他沉積技術形成種 晶層。
該製程中的下一步驟414是用鈷或鈷合金部分地或 全部地填充特徵結構408,該鈷或鈷合金被標記為416。能使用以上描述的ECD種晶「外加」製程執行此部分或全部填充製程。此製程導致無空隙填充,此製程包括將一層ECD種晶材料施加在種晶層上,然後執行熱處理。此退火步驟引起鈷416回流進入特徵結構408中以形成填充部。如步驟420所示,可重複ECD種晶沉積步驟、退火步驟和回流步驟以達到填充物416的期望特性,執行ECD沉積步驟的次數取決於所期望的填充物416的結構。
應理解的是,此製程使得能夠在薄膜電阻(sheet resistance)最高達1000Ω/□的高薄膜電阻膜上鍍鈷。
接著,在步驟424中,如果不是已經填充滿鈷,則 沉積銅以填充特徵結構408並且構建覆蓋層426。如上所述,一種可取的沉積製程是通過電鍍來施加銅填充物和覆蓋物,電鍍相對於其他沉積方法是相對快且經濟的,也能使用其他沉積方法。
接著在步驟428中,用以上針對圖8和圖9描述的 方式對工件進行退火。此熱處理的一個主要目的是要在特徵結構408中獲得均勻的合金材料組分。能用與以上針對圖8和圖9描述的方式相似或實質上相同的方式執行該退火製程。
如圖10所示,在已經完成退火後,在步驟432中, 使用CMP工序來移除銅覆蓋物以及電介質406之上的任何材料層,從而留下鈷或鈷合金互連434。互連434的頂部436 與電介質406的頂表面是同延的。如上所指,此互連工序幫助解決覆層(cladding)而且因此幫助減小線電阻問題以及電遷移問題,當銅被用於金屬化時,常會出現線電阻問題以及電遷移問題。
圖11披露了應用于單鑲嵌情形的本方法的另一實 施方式。如圖11A所示,披露的金屬化製程500開始於由介電層506和507構成的工件504,介電層506和507由UV阻隔層509隔開,介電層506和507、UV阻隔層509都位於結晶矽晶片(未圖示)上。如第一步驟502所示,在工件上執行過孔蝕刻以界定過孔508。
在下一步驟514中,如圖11B所示,阻擋層510被 施加至過孔508。能如以上包括針對圖8和圖9所述施加阻擋層510。之後,能用以上描述的方式將可鍍的種晶層512施加至阻擋層。或者,亦如以上所論述,可鍍膜能被施加在阻擋層之上。
亦如步驟514(圖11B)所示,利用各種技術,過孔 被鍍有金屬導體513,比如銅或銅合金。可使用本領域所知道的製程「自下而上填充」或使用ECD或ECD種晶「外加」再填充(refill)製程來執行這種鍍。如上所述,ECD種晶「外加」製程包括將一層ECD種晶施加在種晶層517上,接著執行熱處理。此處理引起銅513或其他金屬化金屬回流進入過孔508中以執行過孔的部分填充。能重複ECD種晶沉積步驟、退火步驟以及回流步驟以達到過孔508的期望特性。在這點上,這些步驟被重複的次數可取決於期望的填充物的結構。
接著,在步驟520中(圖11C),金屬(比如Co或 Cu)或金屬合金522被鍍在或用其他方式沉積在過孔中,且亦覆蓋ECD種晶外加層。能用非常類似於以上針對圖8和圖9描述的步驟220和320中的形成合金的方式來執行鍍金屬522。亦如以上所指,可利用各種形成合金的金屬或形成合金的金屬的組合。此外,可在ECD種晶外加填充層之上鍍或沉積多於一個合金層。
在下一步驟522中(圖11D),銅(或其他金屬)覆 蓋物526被鍍在合金層522上。如以上所論述,能通過電鍍來經濟地且相對快速地施加覆蓋層526。然而,可使用其他沉積方法來代替。
接著,在選擇性步驟528中(圖11E),用以上針對 圖8-19描述的方式對工件504進行退火。退火步驟526導致層522中的合金受控地擴散進入過孔508中銅填充物513的相鄰頂部部分中(圖11F)。能在以上針對本公開內容的其他實施方式描述的條件下以及用上針對本公開內容的其他實施方式描述的方式執行退火。
如圖11G所示,在已經執行退火工序後,在步驟532中使用CMP工序來移除銅覆蓋物。除了銅覆蓋物,全部其他材料層被移除降至電介質507。這在過孔508之上留下選擇性帽534,帽534與單鑲嵌介電層507的頂表面536是同延的。如將會理解的那樣,通過使用CMP製程,在相鄰過孔508之間不會留下金屬殘餘物。此外,選擇性帽534於是充當用於施加在介電層507之上的下一金屬化層的蝕刻終止層。
如圖12所示,通過本製程500形成了對準容差過孔 508。即使在覆蓋在上面的介電層544中找到的特徵結構540和542與過孔508重疊,選擇性帽534也保持特徵結構540和542與下面的過孔508之間的分隔。如能理解的那樣,這使工件能夠被生產有對準容差過孔508,從而便於半導體製造和加工製程。
在圖12中,能用與針對圖8描述的方式相同的方式 或類似的方式執行特徵結構540和542的金屬化。在這點上,在互連540和542之上形成選擇性金屬帽546。
本公開內容的各製程的可替實施方式可包括以上已 經描述的各步驟的變型,這些變型也旨在通過為單鑲嵌和雙鑲嵌集成方案(integration scheme)中的過孔之上的自對準(self-aligned)溝槽和自對準過孔保證較寬的製程視窗(process window)來提高互連的性能與可靠度。如上所指,本公開內容的製程考慮到在金屬線之上設置的自對準選擇性金屬帽以減少電遷移的發生。另外,本公開內容的實施方式保證了過孔之上的選擇性金屬帽或蝕刻終止物以不僅通過防止產生「無底過孔(bottomless via)」來有助於電遷移性能,而且使過孔是對準容差的。本公開內容的一些實施方式也包括作為互連線的金屬化的部分的金屬合金鍍和/或層疊金屬鍍。這樣的合金和金屬疊層能被選擇以改善電遷移性能。
此外,由在此描述的製程實現的另一優點是能使用 單一工具來執行以上描述的製程步驟,該單一工具比如為由應用材料公司生產的Raider®電化學沉積、清潔(例如, SRD)、以及熱處理或退火工具。這些製程步驟包括ECD種晶沉積步驟(或若重複的話,幾次ECD種晶沉積步驟)、清潔步驟(或若重複的話,幾次清潔步驟)、熱處理步驟(或若重複的話,幾次熱處理步驟)、以及鍍步驟。因此,不必將工件從一個地點移動到另一個地點或從一個機器移動到另一個機器以執行以上描述的製程的各步驟。
雖然已示出和說明了說明性實施方式,但是將應理 解的是,在不背離本發明的精神和範圍的情況下,在本發明中能做出各種改變。例如,能使用多電極系統(multi-electrode system)來執行以上所述的材料沉積步驟和工序。這樣的系統的示例被陳述於第7351314號、第7351315號以及第8236159號美國專利中,通過援引將這些美國專利併入在此。
100‧‧‧回流製程
102‧‧‧步驟
104‧‧‧步驟
106‧‧‧步驟
108‧‧‧步驟
112‧‧‧工件
114‧‧‧阻擋層
115‧‧‧種晶層
116‧‧‧ECD種晶材料
118‧‧‧填充部

Claims (20)

  1. 一種在一工件中形成金屬化的方法,該方法包含:電化學沉積一第二金屬化層於該工件上,該工件包含一非金屬基板與一連續的第一金屬化層,該非金屬基板具有設置在一基板上的一介電層,而該連續的第一金屬化層設置在該介電層上且具有至少一個包含一凹槽化特徵結構的微特徵結構,其中該第一金屬化層至少部分填充該工件上的一特徵結構,其中該第一金屬化層為一單一金屬層,且其中該第二金屬化層為一銅層,與該第一金屬化層的金屬不同;在填充該特徵結構之後,電化學沉積一銅覆蓋層;以及退火該工件,以將該第二金屬化層的金屬擴散至該第一金屬化層的金屬。
  2. 如請求項1所述之方法,其中該第一金屬化層是選自下列所組成的群組:鈷層、鎳層以及銅層。
  3. 如請求項1所述之方法,其中該第二金屬化層是銅層或銅合金層。
  4. 如請求項3所述之方法,其中該合金金屬包含過渡金屬或貴金屬。
  5. 如請求項3所述之方法,其中該合金金屬是選自下列所組 成的群組:銀(Ag)、金(Au)、鈷(Co)、鎳(Ni)、鈀(Pd)與鉑(Pt)。
  6. 如請求項1所述之方法,其中該第一金屬化層為一種晶層。
  7. 如請求項1所述之方法,其中該第二金屬化層部分填充該特徵結構且進一步包含電化學沉積一第三金屬化層,以在施加該覆蓋層之前進一步部分或完全填充該特徵結構。
  8. 如請求項7所述之方法,其中該第三金屬化層是銅層或銅合金層。
  9. 如請求項1所述之方法,其中以一受控的方式執行退火該工件之步驟,以限制該第二金屬化層之一合金元素到該特徵結構之一上部的擴散。
  10. 如請求項1所述之方法,其中執行退火該工件之步驟,以使該第二金屬化層的一合金元素的擴散可均勻擴散遍及整個該特徵結構。
  11. 如請求項1所述之方法,其中該工件進一步包含一阻擋層,位於該介電層與該第一金屬化層之間。
  12. 如請求項1所述之方法,進一步包含使用化學機械拋光(CMP),以暴露該工件之一上表面。
  13. 如請求項1所述之方法,其中該第一金屬化層為一共形層。
  14. 如請求項13所述之方法,進一步包含在沉積該第二金屬化層之前退火該工件,以回流該第一金屬化層。
  15. 如請求項1所述之方法,其中該第二金屬化層為一共形層。
  16. 如請求項15所述之方法,進一步包含在沉積該第二金屬化層之前退火該工件,以回流該第一金屬化層。
  17. 一種在一工件中形成金屬化的方法,該方法包含:電化學沉積一第二金屬化層於該工件上,該工件包含一非金屬基板與一連續的第一金屬化層,該非金屬基板具有設置在一基板上的一介電層,而該連續的第一金屬化層設置在該介電層上且具有至少一個包含一凹槽化特徵結構的微特徵結構,其中該第一金屬化層至少部分填充該工件上的一特徵結構,其中該第一金屬化層為一鈷層,且其中該第二金屬化層的金屬為銅或銅合金層,且其中該第二金屬化層為一填充層或一覆蓋層; 在填充該特徵結構之後,電化學沉積一銅覆蓋層;以及退火該工件,以將該第二金屬化層的金屬擴散至該第一金屬化層的金屬。
  18. 一種在一工件中形成金屬化的方法,該方法包含:電化學沉積一第二金屬化層於該工件上,該工件包含一非金屬基板與一連續的第一金屬化層,該非金屬基板具有設置在一基板上的一介電層,而該連續的第一金屬化層設置在該介電層上且具有至少一個包含一凹槽化特徵結構的微特徵結構,其中該第一金屬化層至少部分填充該工件上的一特徵結構,其中該第一金屬化層的金屬為銅,且其中該第二金屬化層的金屬為銅合金;在填充該特徵結構之後,電化學沉積一銅覆蓋層;以及退火該工件,以將該第二金屬化層的金屬擴散至該第一金屬化層的金屬。
  19. 一種在一工件中形成金屬化的方法,該方法包含:電化學沉積一第二金屬化層於該工件上,該工件包含一非金屬基板與一連續的第一金屬化層,該非金屬基板具有設置在一基板上的一介電層,而該連續的第一金屬化層設置在該介電層上且具有至少一個包含一凹槽化特徵結構的微特徵結構,其中該第一金屬化層至少部分填充該工件上的一特徵結構,其中該第一金屬化層為一鈷金屬層或一鎳金屬層,且其中該第二金屬化層為一鈷金屬層或一鎳金屬層,與該第一 金屬化層的金屬不同;在填充該特徵結構之後,電化學沉積一銅覆蓋層;以及退火該工件,以將該第二金屬化層的金屬擴散至該第一金屬化層的金屬。
  20. 如請求項19所述之方法,該第一金屬化層與該第二金屬化層為一單一金屬層或一金屬合金層。
TW103109957A 2013-03-15 2014-03-17 於半導體元件中製作互連之方法 TWI598996B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201361799703P 2013-03-15 2013-03-15

Publications (2)

Publication Number Publication Date
TW201448119A TW201448119A (zh) 2014-12-16
TWI598996B true TWI598996B (zh) 2017-09-11

Family

ID=50280232

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103109957A TWI598996B (zh) 2013-03-15 2014-03-17 於半導體元件中製作互連之方法

Country Status (5)

Country Link
US (2) US9425092B2 (zh)
EP (1) EP2779224A3 (zh)
KR (1) KR102178622B1 (zh)
CN (2) CN108695244B (zh)
TW (1) TWI598996B (zh)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR102085086B1 (ko) * 2013-10-29 2020-03-05 삼성전자주식회사 반도체 장치 및 그 제조방법
US9997457B2 (en) * 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9607888B2 (en) * 2014-02-03 2017-03-28 Tokyo Electron Limited Integration of ALD barrier layer and CVD Ru liner for void-free Cu filling
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9601430B2 (en) * 2014-10-02 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
CN109216267A (zh) * 2014-12-23 2019-01-15 英特尔公司 解耦过孔填充
CN104615980B (zh) * 2015-01-27 2018-11-23 华进半导体封装先导技术研发中心有限公司 一种指纹识别装置及其制作方法
JP6385856B2 (ja) * 2015-02-26 2018-09-05 東京エレクトロン株式会社 Cu配線の形成方法および半導体装置の製造方法
KR20160112203A (ko) * 2015-03-18 2016-09-28 삼성전자주식회사 배선 구조물, 배선 구조물 형성 방법 및 반도체 장치의 제조 방법
US20160309596A1 (en) * 2015-04-15 2016-10-20 Applied Materials, Inc. Methods for forming cobalt interconnects
WO2016195672A1 (en) * 2015-06-03 2016-12-08 Intel Corporation The use of noble metals in the formation of conductive connectors
KR102542758B1 (ko) * 2015-06-05 2023-06-12 도쿄엘렉트론가부시키가이샤 상호접속부를 위한 루테늄 금속 피처 충전
US9472502B1 (en) * 2015-07-14 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Cobalt interconnect techniques
KR102310404B1 (ko) * 2015-11-05 2021-10-07 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9741577B2 (en) * 2015-12-02 2017-08-22 International Business Machines Corporation Metal reflow for middle of line contacts
US9721835B2 (en) 2015-12-11 2017-08-01 International Business Machines Corporation Modulating microstructure in interconnects
US9805976B2 (en) * 2016-01-08 2017-10-31 Applied Materials, Inc. Co or Ni and Cu integration for small and large features in integrated circuits
US10177091B2 (en) * 2016-02-19 2019-01-08 Globalfoundries Inc. Interconnect structure and method of forming
US9711402B1 (en) 2016-03-08 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact metal
TWI717346B (zh) * 2016-04-13 2021-02-01 大陸商盛美半導體設備(上海)股份有限公司 阻擋層的去除方法和半導體結構的形成方法
US10396012B2 (en) * 2016-05-27 2019-08-27 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US10312181B2 (en) * 2016-05-27 2019-06-04 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US9768063B1 (en) * 2016-06-30 2017-09-19 Lam Research Corporation Dual damascene fill
US9881798B1 (en) * 2016-07-20 2018-01-30 International Business Machines Corporation Metal cap integration by local alloying
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US9941212B2 (en) * 2016-08-17 2018-04-10 International Business Machines Corporation Nitridized ruthenium layer for formation of cobalt interconnects
US9859215B1 (en) 2016-08-17 2018-01-02 International Business Machines Corporation Formation of advanced interconnects
US9852990B1 (en) * 2016-08-17 2017-12-26 International Business Machines Corporation Cobalt first layer advanced metallization for interconnects
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US9716063B1 (en) * 2016-08-17 2017-07-25 International Business Machines Corporation Cobalt top layer advanced metallization for interconnects
WO2018063815A1 (en) * 2016-10-02 2018-04-05 Applied Materials, Inc. Doped selective metal caps to improve copper electromigration with ruthenium liner
EP3418941B1 (en) * 2016-11-07 2021-08-18 Shenzhen Goodix Technology Co., Ltd. Fingerprint recognition module and fingerprint recognition chip packaging structure
US10049980B1 (en) * 2017-02-10 2018-08-14 International Business Machines Corporation Low resistance seed enhancement spacers for voidless interconnect structures
US9960078B1 (en) 2017-03-23 2018-05-01 International Business Machines Corporation Reflow interconnect using Ru
US10109521B1 (en) * 2017-05-26 2018-10-23 Globalfoundries Inc. Method to prevent cobalt recess
US10731250B2 (en) * 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
US10079177B1 (en) 2017-09-01 2018-09-18 United Microelectronics Corp. Method for forming copper material over substrate
US10796956B2 (en) * 2018-06-29 2020-10-06 Texas Instruments Incorporated Contact fabrication to mitigate undercut
US10651125B2 (en) 2018-08-14 2020-05-12 International Business Machines Corporation Replacement metal cap by an exchange reaction
CN109273403B (zh) * 2018-09-27 2021-04-20 中国电子科技集团公司第五十四研究所 一种tsv填孔方法
US11315870B2 (en) * 2018-11-21 2022-04-26 Globalfoundries U.S. Inc. Top electrode interconnect structures
WO2020118100A1 (en) * 2018-12-05 2020-06-11 Lam Research Corporation Void free low stress fill
CN111463168A (zh) * 2019-09-24 2020-07-28 夏泰鑫半导体(青岛)有限公司 金属互连结构及其制备方法
US11133457B2 (en) 2019-09-25 2021-09-28 International Business Machines Corporation Controllable formation of recessed bottom electrode contact in a memory metallization stack
US11205589B2 (en) 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
KR20220084385A (ko) 2019-10-21 2022-06-21 어플라이드 머티어리얼스, 인코포레이티드 층들을 증착하는 방법
CN112259499A (zh) * 2020-10-20 2021-01-22 长江存储科技有限责任公司 半导体器件及其制作方法

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1154507A (ja) * 1997-07-29 1999-02-26 Sony Corp 半導体装置の製造方法
US5989623A (en) 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
US6180505B1 (en) * 1999-01-07 2001-01-30 International Business Machines Corporation Process for forming a copper-containing film
US8236159B2 (en) 1999-04-13 2012-08-07 Applied Materials Inc. Electrolytic process using cation permeable barrier
US7351314B2 (en) 2003-12-05 2008-04-01 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US7351315B2 (en) 2003-12-05 2008-04-01 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
JP2001217242A (ja) * 2000-02-03 2001-08-10 Seiko Epson Corp 半導体装置およびその製造方法
US6461225B1 (en) 2000-04-11 2002-10-08 Agere Systems Guardian Corp. Local area alloying for preventing dishing of copper during chemical-mechanical polishing (CMP)
US6943112B2 (en) * 2002-07-22 2005-09-13 Asm Nutool, Inc. Defect-free thin and planar film processing
KR100443514B1 (ko) * 2001-12-22 2004-08-09 주식회사 하이닉스반도체 확산방지막 형성 방법
JP4555540B2 (ja) 2002-07-08 2010-10-06 ルネサスエレクトロニクス株式会社 半導体装置
US6731006B1 (en) * 2002-12-20 2004-05-04 Advanced Micro Devices, Inc. Doped copper interconnects using laser thermal annealing
US7129165B2 (en) * 2003-02-04 2006-10-31 Asm Nutool, Inc. Method and structure to improve reliability of copper interconnects
US6890828B2 (en) * 2003-06-05 2005-05-10 International Business Machines Corporation Method for supporting a bond pad in a multilevel interconnect structure and support structure formed thereby
KR100546209B1 (ko) * 2003-07-09 2006-01-24 매그나칩 반도체 유한회사 반도체 소자의 구리 배선 형성 방법
US7192495B1 (en) * 2003-08-29 2007-03-20 Micron Technology, Inc. Intermediate anneal for metal deposition
US20050095854A1 (en) * 2003-10-31 2005-05-05 Uzoh Cyprian E. Methods for depositing high yield and low defect density conductive films in damascene structures
KR100538633B1 (ko) * 2003-11-13 2005-12-22 매그나칩 반도체 유한회사 반도체 소자의 금속 배선 형성 방법
US7030016B2 (en) 2004-03-30 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post ECP multi-step anneal/H2 treatment to reduce film impurity
US20060091551A1 (en) * 2004-10-29 2006-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Differentially metal doped copper damascenes
US7189650B2 (en) * 2004-11-12 2007-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for copper film quality enhancement with two-step deposition
US7449409B2 (en) * 2005-03-14 2008-11-11 Infineon Technologies Ag Barrier layer for conductive features
EP1909320A1 (en) * 2006-10-05 2008-04-09 ST Microelectronics Crolles 2 SAS Copper diffusion barrier
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
JP2007194540A (ja) * 2006-01-23 2007-08-02 Toshiba Corp 半導体装置の製造方法及び研磨装置
EP1845554A3 (en) * 2006-04-10 2011-07-13 Imec A method to create super secondary grain growth in narrow trenches
JP2008047719A (ja) * 2006-08-17 2008-02-28 Sony Corp 半導体装置の製造方法
JP2009026989A (ja) * 2007-07-20 2009-02-05 Toshiba Corp 半導体装置及び半導体装置の製造方法
US20090226603A1 (en) * 2008-03-10 2009-09-10 Ovonyx, Inc. Pressure extrusion method for filling features in the fabrication of electronic devices
US7767583B2 (en) * 2008-03-04 2010-08-03 Varian Semiconductor Equipment Associates, Inc. Method to improve uniformity of chemical mechanical polishing planarization
US8420537B2 (en) * 2008-05-28 2013-04-16 International Business Machines Corporation Stress locking layer for reliable metallization
US20090321934A1 (en) * 2008-06-30 2009-12-31 Lavoie Adrien R Self-aligned cap and barrier
JP5501586B2 (ja) * 2008-08-22 2014-05-21 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4441658B1 (ja) * 2008-12-19 2010-03-31 国立大学法人東北大学 銅配線形成方法、銅配線および半導体装置
KR101558428B1 (ko) * 2009-03-03 2015-10-20 삼성전자주식회사 반도체 장치의 형성 방법
CN102286760B (zh) * 2010-05-19 2016-10-05 诺发系统有限公司 用金属电化学填充高纵横比的大型凹入特征的方法、水溶液电镀槽溶液、电镀设备以及系统
US8888982B2 (en) * 2010-06-04 2014-11-18 Mks Instruments Inc. Reduction of copper or trace metal contaminants in plasma electrolytic oxidation coatings
US8357599B2 (en) 2011-02-10 2013-01-22 Applied Materials, Inc. Seed layer passivation
KR20140021628A (ko) * 2011-03-30 2014-02-20 도쿄엘렉트론가부시키가이샤 Cu 배선의 형성 방법
JP5734757B2 (ja) * 2011-06-16 2015-06-17 株式会社東芝 半導体装置及びその製造方法
US8497202B1 (en) * 2012-02-21 2013-07-30 International Business Machines Corporation Interconnect structures and methods of manufacturing of interconnect structures
US20140103534A1 (en) * 2012-04-26 2014-04-17 Applied Materials, Inc. Electrochemical deposition on a workpiece having high sheet resistance
US10665503B2 (en) 2012-04-26 2020-05-26 Applied Materials, Inc. Semiconductor reflow processing for feature fill

Also Published As

Publication number Publication date
CN104051336A (zh) 2014-09-17
KR20140113611A (ko) 2014-09-24
US20140287577A1 (en) 2014-09-25
CN108695244A (zh) 2018-10-23
CN104051336B (zh) 2019-03-08
CN108695244B (zh) 2023-01-17
EP2779224A3 (en) 2014-12-31
KR102178622B1 (ko) 2020-11-13
TW201448119A (zh) 2014-12-16
US20170047249A1 (en) 2017-02-16
EP2779224A2 (en) 2014-09-17
US10062607B2 (en) 2018-08-28
US9425092B2 (en) 2016-08-23

Similar Documents

Publication Publication Date Title
TWI598996B (zh) 於半導體元件中製作互連之方法
TWI723228B (zh) 以釕襯墊改善銅電遷移的經摻雜選擇性金屬蓋
TWI643291B (zh) 形成互連之方法
US8698318B2 (en) Superfilled metal contact vias for semiconductor devices
US10665503B2 (en) Semiconductor reflow processing for feature fill
US7694413B2 (en) Method of making a bottomless via
US20140103534A1 (en) Electrochemical deposition on a workpiece having high sheet resistance
KR20130121042A (ko) 피쳐 필을 위한 반도체 리플로우 프로세싱
US20060001160A1 (en) Surface treatment of metal interconnect lines
TWI653367B (zh) 具有高薄片電阻之工件上的電化學沉積
TWI576961B (zh) 用於高深寬比塡充的半導體重流處理
JPH11283979A (ja) 半導体装置の製造方法
JP2001319930A (ja) 半導体装置の製造方法
TWI625773B (zh) 用於特徵塡充的半導體重流處理
KR100702805B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100866110B1 (ko) 반도체 소자의 구리배선 형성방법