CN103907198A - 形成n型掺杂半导体基板的p型掺杂铝表面区域的方法 - Google Patents

形成n型掺杂半导体基板的p型掺杂铝表面区域的方法 Download PDF

Info

Publication number
CN103907198A
CN103907198A CN201280052909.0A CN201280052909A CN103907198A CN 103907198 A CN103907198 A CN 103907198A CN 201280052909 A CN201280052909 A CN 201280052909A CN 103907198 A CN103907198 A CN 103907198A
Authority
CN
China
Prior art keywords
shaped
semiconductor substrate
solar cell
aluminium
type
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201280052909.0A
Other languages
English (en)
Inventor
K·W·杭
A·G·普林斯
M·罗斯
R·J·S·扬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
EIDP Inc
Original Assignee
EI Du Pont de Nemours and Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by EI Du Pont de Nemours and Co filed Critical EI Du Pont de Nemours and Co
Publication of CN103907198A publication Critical patent/CN103907198A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0224Electrodes
    • H01L31/022408Electrodes for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/022425Electrodes for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • H01L31/022441Electrode arrangements specially adapted for back-contact solar cells
    • H01L31/02245Electrode arrangements specially adapted for back-contact solar cells for metallisation wrap-through [MWT] type solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/028Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic Table
    • H01L31/0288Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic Table characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Sustainable Development (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Sustainable Energy (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Photovoltaic Devices (AREA)

Abstract

一种用于形成n型半导体基板的至少一个p型掺杂铝表面区域的方法,所述方法包括以下步骤:(1)提供n型半导体基板,(2)在所述n型半导体基板的至少一个表面区域上施加并干燥铝浆,(3)焙烧所述干燥过的铝浆,以及(4)用水除去所述焙烧过的铝浆,其中在步骤(2)中使用的所述铝浆包含粒状铝、有机载体和基于总铝浆组合物计3至20重量%的玻璃料。

Description

形成n型掺杂半导体基板的p型掺杂铝表面区域的方法
技术领域
本发明涉及形成n型掺杂半导体基板的p型掺杂铝表面区域的方法并且涉及通过所述方法制备的半导体基板。
背景技术
在说明书及权利要求书中,使用了术语“p型掺杂铝”。它是指p型掺杂有铝作为p型掺杂物。
通过热扩散将p型掺杂物如硼掺杂到n型硅基板中的n型硅的p型掺杂是熟知的。热扩散通常利用p型掺杂物例如气态BBr3的扩散源进行。p型掺杂物可热扩散进入n型硅基板的表面区域,从而形成p型掺杂的薄层,其具有低的渗透深度,例如至多200nm。所述热扩散方法可通过遮蔽n型硅基板表面的某些部分获得支持,即,那些表面区域将不接收p型掺杂物。
太阳能电池是半导体的具体例子。
常规太阳能电池结构由具有正面n型表面(正面n型区域、正面n型发射器)的p型基板、沉积于电池正面(光照面、光照表面)的负极和在背面上的正极构成。通常具有正面n型表面的p型基板是具有正面n型硅表面的p型硅。
作为另外一种选择,具有n型基板(n型太阳能电池)的反向太阳能电池结构也是已知的。此类电池在正面上具有带正极的正面p型表面(正面p型区域、正面p型发射器),并且具有接触电池背面的负极。通常具有正面p型表面的n型基板是具有正面p型硅表面的n型硅。
其它近来的太阳能电池设计概念也包括n型基板、通常n型硅基板,其中异质结p型发射器在太阳能电池的背部表面上局部形成。此处,正极以及负极定位在太阳能电池的背面上。
n型太阳能电池与p型太阳能电池相比理论上能够产生至多1%的绝对效率增益,这归因于在n型掺杂半导体基板中降低的电子重组速度。
n型太阳能电池的制备通常以n型晶片、通常n型硅晶片形式的n型基板的形成开始。为此,通常通过含磷前体(诸如POCl3)热扩散到晶片内而形成n型掺杂基板。n型晶片可具有例如在100至250cm2的范围内的面积和例如180至300μm的厚度。通过含硼前体(诸如BBr3)的热扩散在n型晶片上形成一个或多个p型发射器。所得的一个或多个p型发射器在n型晶片的整个正面表面上形成为p型发射器,或者在背部表面上形成为局部p型异质结。在n型掺杂物的浓度等于p型掺杂物的浓度的部位形成p-n结。
随后通常通过例如等离子CVD(化学气相沉积)的方法在晶片上形成例如TiOx、SiOx、TiOx/SiOx、SiNx、Si3N4的介电层或具体地讲SiNx/SiOx的介电堆栈,其厚度为例如80至150nm。此类层用作ARC(抗反射涂层)层和/或用作钝化层。
具有n型基板的太阳能电池结构具有一个或多个正极(在正面上的一个正极或者在背面上的一个或多个正极)和在背面上的一个负极。由导电金属浆料,通常银浆施加一个或多个阳极(通常通过丝网印刷),并且随后干燥并焙烧。正面阳极通常为网格或所谓的H图案的形式,其包括(i)细平行指状线(收集器线)和(ii)以直角与指状线相交的两条母线。此外,导电金属背面阴极、通常银背面阴极在相互连接的太阳能电池背面的部分上形成。为此,在基板的背面上施加(通常通过丝网印刷)导电金属浆料、通常为银浆并依次干燥。通常将背面导电金属浆料施加于n型晶片的背面上成为网格,例如H图案的网格,或者形成两条平行母线或形成矩形(插片),以准备用于焊接互连线(预焊接的铜带)。焙烧背面导电金属浆料使之变为背面阴极。通常在带式炉中实施焙烧1至5分钟的时间,并且晶片达到了在700至900℃的范围内的峰值温度。正面阳极和背面阴极能依次焙烧或共同焙烧。
MWT(金属穿孔卷绕)太阳能电池表示一种特殊类型的前述太阳能电池。它们具有另一种电池设计并且它们也是技术人员所熟知的(参见例如网站“http://www.sollandsolar.com/IManager/Content/4680/qfl7/mt1537/mi30994/mu1254913665/mv2341”和说明资料“Preliminary Datasheet Sunweb”,其能从该网站下载,以及F.Clement等人的“Industrially feasible multi-crystallinemetal wrap through(MWT)silicon solar cells exceeding16%efficiency”,Solar Energy Materials&Solar Cells93(2009),第1051-1055页)。MWT太阳能电池是背面触点电池,允许它们比标准太阳能电池进行较少的正面遮蔽。
正如在上面提到的标准太阳能电池的情况一样,MWT太阳能电池可制备为具有p型基板的MWT太阳能电池(p型MWT太阳能电池),或作为另外一种选择,制备为具有n型基板的MWT太阳能电池(n型MWT太阳能电池)。通常基板材料是硅。
n型MWT太阳能电池晶片具有在电池的正面和背面之间形成通路的小空穴。n型MWT太阳能电池具有在空穴的整个正面和内侧之上延伸的p型发射器。p型发射器覆盖有电介质钝化层,该钝化层用作ARC层,这对于太阳能电池而言是常规的。p型发射器不仅延伸到整个正面,而且还延伸到空穴的内侧,而电介质钝化层不会这样,并且略过空穴内侧。空穴内侧,即,未覆盖有电介质钝化层的p型扩散层,具有敷金属。空穴的敷金属用作发射器触点并且形成n型MWT太阳能电池的阳极背面触点。此外,n型MWT太阳能电池的正面具有细的导电金属收集器线形式的正面敷金属,所述收集器线以MWT太阳能电池的典型图案布置,例如网格状或网状图案或细平行指状线。术语“用于MWT太阳能电池的典型图案”表示收集器线的端子与空穴的敷金属重叠并且因此与之电连接。由导电金属浆料施加收集器线并且它们焙烧穿过正面电介质钝化层,从而接触n型MWT太阳能电池晶片的正面p型表面。
n型MWT太阳能电池的背面具有阴极导电金属收集器背面触点,其在任何情况下均与空穴的敷金属电绝缘。从n型MWT太阳能电池的阳极背面触点和阴极导电金属收集器背面触点收集光电电流。
与上面提到的反向型标准太阳能电池的制备类似,n型MWT太阳能电池的制备以n型晶片,通常n型硅晶片形式的n型基板的形成开始。为此,通常通过含磷前体(例如POCl3)热扩散到无掺杂的晶片内而形成n型掺杂基板。通常通过激光钻孔来施加在晶片的正面和背面之间形成通路的小空穴。如此制备的空穴均匀分布在晶片上,并且它们的数量在例如每个晶片10-100个的范围内。然后通常通过含硼前体(诸如BBr3)的热扩散而形成p型扩散层(p型发射器)。在n型晶片的整个正面(包括空穴的内侧)形成p型扩散层。在n型掺杂物的浓度等于p型掺杂物的浓度的部位形成p-n结。
在形成p型扩散层之后,通过进行蚀刻(具体地,在某种强酸中,例如氢氟酸)而将多余的表面玻璃从发射器表面除去。
通常,随后在正面p型扩散层上形成例如TiOx、SiOx、TiOx/SiOx、SiNx、Si3N4的介电ARC层或具体地讲SiNx/SiOx的介电堆栈,然而会略过空穴的内侧,并且任选地也略过空穴正面边缘周围的窄边。电介质的沉积可例如使用诸如等离子CVD(化学气相沉积)或溅射的方法来进行,沉积至例如50至100nm的厚度。
正如具有n型基板的标准太阳能电池结构一样,n型MWT太阳能电池通常在其正面上具有正极,并且在其背面上具有负极。正面阳极呈细的导电性收集器线的形式,所述收集器线按MWT太阳能电池的典型图案布置。通常通过在电池正面的ARC层上丝网印刷、干燥和焙烧导电金属浆料(通常银浆)来施加细的导电性收集器线,从而使收集器线的端子与空穴的敷金属重叠以与之产生电连接。通常在带式炉中实施焙烧1至5分钟的时间,并且晶片达到了在700至900℃的范围内的峰值温度。
如已经提到的一样,n型MWT太阳能电池晶片的空穴具有敷金属。为此,通过以导电金属层(开放的空穴)形式或导电金属塞(填充有导电金属的空穴)形式向空穴施加导电金属浆料而使空穴自身金属化。敷金属可仅覆盖空穴的内侧或者也覆盖空穴边缘周围的窄边,从而窄边可存在于空穴的正面边缘上、空穴的背面边缘上、或同时存在于它们两者之上。可由一种单一的导电金属浆料来施加敷金属。也可由两种不同的导电金属浆料来施加敷金属,即可将一种导电金属浆料施加到空穴的正面,并且将另一种施加到其背面。在施加了一种或两种导电金属浆料之后,对浆料进行干燥和焙烧以形成p型发射器触点以及分别形成n型MWT太阳能电池的阳极背面触点。通常在带式炉中实施焙烧1至5分钟的时间,并且晶片达到了在700至900℃的范围内的峰值温度。经焙烧的空穴的敷金属与细的正面导电性收集器线的端子电连接。
此外,在n型晶片的背面,通常通过丝网印刷来施加背面导电金属浆料(通常为银浆),并依次干燥,从而避免与空穴的敷金属发生任何接触。换句话讲,施加背面导电金属浆料,从而确保其在焙烧之前以及之后与空穴的敷金属保持电绝缘。施加背面导电金属浆料,使之均匀分布在n型基板的背面上,随后干燥并通过焙烧转化以变为均匀分布的阴极导电金属背面收集器触点。通常在带式炉中实施焙烧1至5分钟的时间,并且晶片达到了在700至900℃的范围内的峰值温度。正面阳极、空穴的敷金属和背面阴极能依次焙烧或共同焙烧。导电金属背面收集器触点仅占据n型基板背面的一小部分区域。此外,作为细的收集器线施加的正面导电金属浆料在焙烧过程中焙烧穿过ARC层,从而能够电接触正面p型发射器。
发明内容
已发现,n型掺杂半导体基板的p型掺杂铝表面区域可通过在n型掺杂半导体基板的表面上施加并焙烧铝浆并且随后通过水处理除去焙烧过的铝组合物进行制备,前提条件是铝浆包含基于总铝浆组合物计3至20重量%(重量-%)的玻璃料。
在说明书和权利要求书中使用术语“半导体基板”。它是指半导体薄晶片,具体地指太阳能电池晶片。为了避免误解,它不包括厚基板例如结晶硅锭。
本发明涉及用于形成n型半导体基板的至少一个p型掺杂铝表面区域的方法。因此,它也涉及用于制备n型半导体基板自身的方法,该基板具有至少一个p型掺杂铝表面区域。因此,在它的一般实施例中,本发明的方法包括以下步骤:
(1)提供n型半导体基板,
(2)在所述n型半导体基板的至少一个表面区域上施加并干燥铝浆,
(3)焙烧所述干燥过的铝浆,以及
(4)用水除去所述焙烧过的铝浆,
其中在步骤(2)中使用的铝浆包含粒状铝、有机载体和基于总铝浆组合物计3至20重量%的玻璃料。
具体实施方式
在说明书及权利要求书中,使用了术语“n型半导体基板的p型掺杂铝表面区域”。它不限于n型半导体基板的表面区域,其中铝p型掺杂物可能仅存在于表面上;相反地,它意指n型半导体基板的表面区域,其中铝p型掺杂物已经在相应区域渗透到表面,渗透深度仅为某个在例如500至4000nm,具体地3000至4000nm的范围内的渗透深度;换句话讲,铝p型掺杂物在相应区域形成p型掺杂铝表面薄层。在任何情况下,铝p型掺杂物尚未渗透穿过整个n型半导体基板。
在说明书及权利要求书中,使用了术语“n型半导体基板的至少一个表面区域”。它是指整个表面区域或仅仅它的一部分,例如仅有n型半导体基板的两个或更多个侧面中的一个侧面,或者甚至仅有其一个侧面的一部分。一个侧面的一部分的一个例子是仅覆盖一个侧面的一部分的图案。
在本发明的方法的步骤(1)中提供了n型半导体基板。例如,n型半导体基板可选自n型掺杂结晶锗半导体基板和n型掺杂结晶锗-硅合金半导体基板。n型半导体基板具体地可选自n型掺杂结晶硅半导体基板。
在说明书及权利要求书中使用的术语“结晶”是指单晶或多晶。
在本发明的方法的步骤(2)中施加的铝浆是铝厚膜导电组合物,其包含粒状铝、有机载体和玻璃料,其中在铝浆中的玻璃料比例基于总铝浆组合物计为3至20重量%。
粒状铝可为铝或者在一个实施例中可为具有一种或多种其它金属的铝合金。就铝合金而言,铝含量为例如99.7重量%至小于100重量%。粒状铝可包括各种形状的铝颗粒,例如铝薄片、球形铝粉、结节形(不规则形)铝粉或它们的任何组合。在一个实施例中,粒状铝为铝粉形式。铝粉表现出例如4-10μm的平均粒度。粒状铝可按如下比例存在于铝浆中:基于总铝浆组合物计所述比例为50-80重量%,或者在一个实施例中为70-75重量%。
在说明书及权利要求书中使用术语“平均粒度”。其应指借助激光散射测定的平均粒度(平均粒径,d50)。激光散射测量可使用粒度分析仪,例如Microtrac S3500仪来进行。
本说明书和权利要求书中关于平均粒度所作的所有陈述均涉及如存在于铝浆组合物中的相关材料的平均粒度。
铝浆包含有机载体。可将多种惰性的粘稠材料用作有机载体。有机载体可为如下的载体:其中粒状组分(粒状铝、玻璃料)为可分散的,并具有足够的稳定度。有机载体的特性(具体地讲流变特性)可使得它们向铝浆组合物提供良好的应用特性,包括:不溶性固体的稳定分散性、对于施加的适当的粘度和触变性、半导体基板和浆料固体的适当可润湿性、良好的干燥速率和良好的焙烧特性。用于铝浆中的有机载体可为非水惰性液体。有机载体可为有机溶剂或有机溶剂混合物;在一个实施例中,有机载体可为一种或多种有机溶剂中一种或多种有机聚合物的溶液。在一个实施例中,用于该目的的聚合物可为乙基纤维素。可单独使用或以组合方式使用的聚合物的其它例子包括乙基羟乙基纤维素、木松香、酚醛树脂和低级醇的聚(甲基)丙烯酸酯。合适的有机溶剂的例子包括酯醇和萜烯诸如α-或β-萜品醇或它们与其它溶剂诸如煤油、邻苯二甲酸二丁酯、二甘醇丁基醚、二甘醇丁醚乙酸酯、己二醇和高沸点醇的混合物。此外,在有机载体中还可包含挥发性有机溶剂,以用于促进在将铝浆施加到半导体基板上后的快速硬化。可配制这些溶剂和其它溶剂的各种组合以达到所期望的粘度和挥发性要求。
铝浆中的有机溶剂含量基于总铝浆组合物计可在5至25重量%,或者在一个实施例中在10至20重量%的范围内。
一种或多种有机聚合物可按如下比例存在于有机载体中:基于总铝浆组合物计所述比例在0至20重量%,或在一个实施例中在5至10重量%的范围内。
铝浆包含基于总铝浆组合物计3至20重量%、或者在一个实施例中3至10重量%的玻璃料作为无机粘合剂。如果铝浆中的玻璃料含量小于3重量%,工艺步骤(4)不能成功地进行;即,在这种情况下焙烧过的铝浆不能或不完全用水除去。具有超过20重量%上限的玻璃料含量的铝浆组合物不具有其它需要的特性;即,包含超过20重量%玻璃料的铝浆一般来讲不用于本发明的方法。
玻璃料具有在例如350至600℃的范围内的软化点温度和例如0.5至4μm的平均粒度。
在说明书及权利要求书中,使用了术语“软化点温度”。其是指在10K/min的加热速率下通过差热分析(DTA)测得的玻璃化转变温度。
3至20重量%的玻璃料可包含一种玻璃料或两种或更多种不同玻璃料的组合。对于玻璃料组合物无特殊限制。在一个实施例中,玻璃料是硅铝酸盐玻璃。在另一个实施例中,玻璃料是硼硅酸盐玻璃,其可包含或可不包含碱金属氧化物和/或碱土金属氧化物。玻璃料可含有PbO或者它可为不含铅的。玻璃料的例子还包括氧化物的组合,诸如:B2O3、SiO2、Al2O3、CdO、CaO、BaO、ZnO、Na2O、Li2O、PbO、和ZrO2,它们可独立地使用或以组合方式使用以形成玻璃粘合剂。
玻璃料的制备是人们熟知的;可例如将玻璃的各组分、具体地各组分的氧化物形式熔融在一起。当然,批料成分可为任何化合物,所述化合物在通常的玻璃料生产条件下将产生所期望的氧化物。例如,氧化硼能够由硼酸获得,氧化钡能够由碳酸钡制得等。如本领域熟知的那样,可加热至在例如1050至1250℃的范围内的峰值温度并持续某段时间使得熔体完全变成液体并且是均匀的,通常持续0.5至1.5小时。将熔融组合物倾注到水中以形成玻璃料。
可将玻璃在球磨机中用水或惰性的低粘度低沸点的有机液体进行研磨,以减小玻璃料的粒度并且获得尺寸基本上均匀的玻璃料。然后可将其沉淀在水或所述有机液体中以分离出细料,并且可除去包含细料的上清液。也可使用其它分类方法。
铝浆可包含耐火无机化合物和/或金属-有机化合物。“耐火无机化合物”是指抵抗焙烧期间经历的热条件的无机化合物。例如,它们的熔点高于焙烧期间经历的温度。例子包括无机氧化物,例如无定形二氧化硅。金属有机化合物的例子包括锡有机化合物和锌有机化合物,例如新癸酸锌和2-乙基己酸亚锡(II)。
铝浆可包含一种或多种有机添加剂,例如表面活性剂、增稠剂、流变改性剂和稳定剂。一种或多种有机添加剂可为有机载体的一部分。然而,也有可能在制备铝浆时单独加入一种或多种有机添加剂。一种或多种有机添加剂可按如下的总比例存在于铝浆中:基于总铝浆组合物计所述总比例为例如0-10重量%。
铝浆中的有机载体含量可取决于施加浆料的方法和所用的有机载体的种类,并且其可以变化。在一个实施例中,基于总铝浆组合物计其可为20-45重量%,或者在一个实施例中,其可在22-35重量%的范围内。该数目20-45重量%包含一种或多种有机溶剂、一种或多种可能的有机聚合物和一种或多种可能的有机添加剂。
铝浆为一种粘稠的组合物,其可通过将粒状铝和具有有机载体的玻璃料机械混合来制备。在一个实施例中,可使用粉末混合制造方法,其为一种相当于传统辊磨的分散技术;还可使用辊磨或其它混合技术。
铝浆可原样使用,或者可例如通过加入一种或多种附加的有机溶剂进行稀释;因此,可降低铝浆的所有其它成分的重量百分比。
在本发明的方法的步骤(2)中,将铝浆施加到n型半导体基板的至少一个表面区域上。铝浆可被施加至例如15-60μm的干膜厚度。铝浆的施加方法可为印刷,例如硅氧烷移印;或在一个实施例中为丝网印刷,或者它可为笔绘。施加方法的多样性允许施加铝浆以覆盖整个表面或仅覆盖它的一个或多个部分。例如以图案形式施加铝浆是可能的,其中所述图案可包括精细结构如细线和点。如果期望以图案形式施加铝浆,则不需要采用遮蔽方法如常规的p型掺杂。当通过使用Brookfield HBT粘度计和#14锭子的效用杯以10rpm的锭子速度且在25℃下测量时,铝浆的施加粘度可为例如20至200Pa·s。
施加铝浆后使其干燥例如1至100分钟的时间,从而使n型半导体基板达到在100至300℃的范围内的峰值温度。干燥可利用例如带式、旋转式或静止式干燥机,具体地讲IR(红外线)带式干燥机来进行。
干燥过的铝浆在本发明的方法的步骤(3)中焙烧。焙烧可持续例如1至5分钟的时间,使n型半导体基板达到在700至900℃的范围内的峰值温度。
焙烧可利用例如单区段或多区段带式炉尤其是多区段IR带式炉来进行。焙烧在存在氧的情况下尤其是在存在空气的情况下发生。在焙烧期间,可除去包含非挥发性有机材料的有机物质和在干燥步骤期间没有蒸发的有机部分,即烧尽和/或碳化,具体地讲烧尽它们。在焙烧期间除去的有机物质包括一种或多种有机溶剂、一种或多种可能的有机聚合物、一种或多种可能的有机添加剂、以及可能的金属有机化合物的有机部分。焙烧期间还进行了另一工序,即烧结玻璃料。在焙烧期间温度高于铝的熔点;在n型硅半导体硅基板的典型情况下,形成铝-硅熔体并且随后在冷却期间形成p型掺杂铝的外延生长硅层,即n型硅半导体基板的p型掺杂铝表面区域。
通常在进行工艺步骤(3)和(4)之间无明显延迟,具体地当本发明的方法作为工业方法进行时无明显延迟。例如,在工艺步骤(3)结束后,工艺步骤(4)通常将紧接着、或者例如在n型半导体基板已经冷却后24小时内进行。然而,在进行工艺步骤(3)和(4)之间的时间长度是不重要的,并且对本发明的方法的性能实现与否无影响。
在本发明的方法的步骤(4)中,用水,或者换句话讲通过水处理除去焙烧过的铝浆。水温可为例如20至100℃,或者在一个实施例中为25至80℃。水处理可持续例如10至120秒。水温越高,步骤(4)所需的时间越短。令人惊讶地是工艺步骤(4)可简单地用水进行,并且不需要使用任何其它辅助化学制品例如酸或碱;这意味着相当多的优点,不仅来自环境方面,而且还来自安全方面的考虑。令人惊讶地是,虽然使用其它水处理方法例如冲洗、喷洒或者甚至喷水-喷洒也是可以的,但把在其表面上具有焙烧过的铝浆的n型半导体基板浸入水中就足够了。也可组合使用多种水处理方法。虽然不需要,但通过机械磨蚀例如刷涂或涂搽以辅助水处理是可以的。
在结束步骤(4)后,获得具有至少一个p型掺杂铝表面区域的n型半导体基板。因此,本发明也涉及具有通过本发明的方法形成的至少一个p型掺杂铝表面区域的n型半导体基板。
虽然不希望受任何理论的约束,但推测存在于铝浆中的3至20重量%的玻璃料导致在焙烧期间存在于铝颗粒表面上的全部或至少相当部分的氧化铝被玻璃消耗,并且剩下的铝颗粒易于被水冲洗下来。还推测焙烧过的铝组合物与水反应,导致脆弱,使得它从n型半导体基板具有的p型掺杂铝表面区域中被除去。
在上文公开中本发明已经通过其一般实施例进行了描述。在下文中公开了本发明的一个具体实施例。
在本发明的方法的具体实施例中,在步骤(1)中提供的n型半导体基板是n型太阳能电池晶片,并且在其上铝浆在步骤(2)中被施加的n型半导体基板的至少一个表面区域是n型太阳能电池晶片的一个或多个表面区域,其中一个或多个表面区域设有p型发射器。因此,该方法因而是用于形成形式为n型太阳能电池晶片的一个或多个p型发射器的至少一个p型掺杂铝表面区域的方法。因此,在它的具体实施例中,本发明涉及用于形成n型太阳能电池晶片的一个或多个p型发射器的方法。因此,它也涉及用于制备具有一个或多个p型发射器的n型太阳能电池晶片自身的方法。
因此,在它的具体实施例中,本发明的方法包括以下步骤:
(1’)提供n型太阳能电池晶片,
(2’)在将设有一个或多个p型发射器的n型太阳能电池晶片的一个或多个表面区域上施加并干燥铝浆,
(3’)焙烧所述施加的并干燥过的铝浆,以及
(4’)用水除去所述焙烧过的铝浆,
其中在步骤(2’)中使用的铝浆包含粒状铝、有机载体和基于总铝浆组合物计3至20重量%的玻璃料。
在步骤(1’)中提供的n型太阳能电池晶片可例如选自n型结晶锗太阳能电池晶片和n型结晶锗-硅合金太阳能电池晶片。n型太阳能电池晶片具体地可选自n型结晶硅太阳能电池晶片。
n型太阳能电池晶片的制备是本领域的技术人员已知的。参照上文部分“背景技术”,其中描述了标准和MWT型的n型太阳能电池晶片的制备。
在步骤(1’)中提供的n型太阳能电池晶片不具有p型发射器并且它将设有一个或多个p型发射器,例如,设有正面p型发射器或设有多个异质结p型发射器,它们定位在n型太阳能电池晶片的背面上。就n型MWT太阳能电池晶片而言,正面p型发射器不仅覆盖晶片的正面,而且覆盖它的空穴内侧。
在步骤(2’)中将铝浆施加于在步骤(1’)中提供的n型太阳能电池晶片的一个或多个表面区域上。所述一个或多个表面区域是将设有p型发射器的那个/那些表面区域,即,其中将形成p型发射器的那个/那些表面区域。铝浆与在一般实施例中本发明的方法的步骤(2)中使用的铝浆相同;为了避免不必要的重复,参照对应的上文公开。
将铝浆施加至例如15至60μm的干膜厚度。铝浆的施加方法可以是印刷,例如硅氧烷移印;或在一个实施例中,为丝网印刷。当通过使用Brookfield HBT粘度计和#14锭子的效用杯以10rpm的锭子速度且在25℃下测量时,铝浆的施加粘度可为例如20至200Pa·s。
施加铝浆后使其干燥例如1至100分钟的时间,从而使太阳能电池晶片达到在100至300℃的范围内的峰值温度。干燥可利用例如带式、旋转式或静止式干燥机,具体地讲IR带式干燥机来进行。
然后,在步骤(3’)中,焙烧干燥过的铝浆。焙烧可持续例如1至5分钟的时间,使n型太阳能电池晶片达到在700至900℃的范围内的峰值温度。焙烧可利用例如单区段或多区段带式炉尤其是多区段IR带式炉来进行。焙烧在存在氧的情况下尤其是在存在空气的情况下发生。在焙烧期间,可除去包含非挥发性有机材料的有机物质和在干燥步骤期间没有蒸发的有机部分,即烧尽和/或碳化,具体地讲烧尽它们。在焙烧期间除去的有机物质包括一种或多种有机溶剂、一种或多种可能的有机聚合物、一种或多种可能的有机添加剂、以及可能的金属有机化合物中的有机部分。焙烧期间还进行了另一工序,即烧结玻璃料。在焙烧期间温度高于铝的熔点;在n型太阳能电池晶片的典型情况下,形成铝-硅熔体并且随后在冷却期间形成p型掺杂铝的外延生长硅层,即p型发射器。
通常在进行工艺步骤(3’)和(4’)之间无明显延迟,具体地当本发明的方法在它的具体实施例中作为工业方法进行时无明显延迟。例如,在工艺步骤(3’)结束后,工艺步骤(4’)通常将紧接着、或者例如在n型太阳能电池晶片已经冷却后24小时内进行。然而,在进行工艺步骤(3’)和(4’)之间的时间长度是不重要的,并且对本发明的方法的具体实施例性能实现与否无影响。
在步骤(4’)中,用水除去焙烧过的铝组合物。为了避免不必要的重复,参照本发明的方法一般实施例的步骤(4)描述相关联的公开。
在结束步骤(4’)后,获得具有一个或多个p型发射器的n型太阳能电池晶片。因此,本发明也涉及通过本发明的方法在其具体实施例中制备的所述n型太阳能电池晶片。
虽然不希望受任何理论的约束,但推测存在于铝浆中的3至20重量%的玻璃料导致在焙烧期间存在于铝颗粒表面上的全部或至少相当部分的氧化铝被玻璃消耗,并且剩下的铝颗粒易于被水冲洗下来。还推测焙烧过的铝组合物与水反应,导致脆弱,使得它从n型太阳能电池晶片具有的一个或多个p型掺杂铝表面区域,即,一个或多个p型发射器中被除去。
由此获得的具有一个或多个p型发射器的n型太阳能电池晶片随后可进行进一步处理,即,提供ARC层和任何必要的导电敷金属。

Claims (12)

1.用于形成n型半导体基板的至少一个p型掺杂铝表面区域的方法,所述方法包括以下步骤:
(1)提供n型半导体基板,
(2)在所述n型半导体基板的至少一个表面区域上施加并干燥铝浆,
(3)焙烧所述干燥过的铝浆,以及
(4)用水除去所述焙烧过的铝浆,
其中在步骤(2)中使用的所述铝浆包含粒状铝、有机载体和基于总铝浆组合物计3至20重量%的玻璃料。
2.根据权利要求1所述的方法,其中所述n型半导体基板选自n型掺杂结晶锗半导体基板、n型掺杂结晶锗-硅合金半导体基板和n型掺杂结晶硅半导体基板。
3.根据权利要求1所述的方法,其中所述n型半导体基板是n型太阳能电池晶片,其中所述n型半导体基板的至少一个表面区域是n型太阳能电池晶片的一个或多个表面区域,并且其中所述n型太阳能电池晶片的一个或多个表面区域是将设有p型发射器的那个/那些表面区域。
4.根据权利要求3所述的方法,其中所述n型太阳能电池晶片选自n型结晶锗太阳能电池晶片、n型结晶锗-硅合金太阳能电池晶片和n型结晶硅太阳能电池晶片。
5.根据权利要求1或2所述的方法,其中所述粒状铝在所述铝浆中以基于总铝浆组合物计50至80重量%的比例存在。
6.根据权利要求1、2或5所述的方法,其中所述玻璃料是硅铝酸盐玻璃或硼硅酸盐玻璃。
7.根据权利要求1、2、5或6所述的方法,其中在所述铝浆中的有机载体含量基于总铝浆组合物计为20至45重量%。
8.具有至少一个p型掺杂铝表面区域的n型半导体基板由根据权利要求1、2、5、6或7所述的方法制备。
9.根据权利要求3或4所述的方法,其中所述粒状铝在所述铝浆中以基于总铝浆组合物计50至80重量%的比例存在。
10.根据权利要求3、4或9所述的方法,其中所述玻璃料是硅铝酸盐玻璃或硼硅酸盐玻璃。
11.根据权利要求3、4、9或10所述的方法,其中在所述铝浆中的有机载体含量基于总铝浆组合物计为20至45重量%。
12.具有一个或多个p型发射器的n型太阳能电池晶片由根据权利要求3、4、9、10或11所述的方法制备。
CN201280052909.0A 2011-11-04 2012-11-05 形成n型掺杂半导体基板的p型掺杂铝表面区域的方法 Pending CN103907198A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161555519P 2011-11-04 2011-11-04
US61/555,519 2011-11-04
PCT/US2012/063547 WO2013067493A1 (en) 2011-11-04 2012-11-05 A PROCESS OF FORMING AN ALUMINUM p-DOPED SURFACE REGION OF AN n-DOPED SEMICONDUCTOR SUBSTRATE

Publications (1)

Publication Number Publication Date
CN103907198A true CN103907198A (zh) 2014-07-02

Family

ID=47190190

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280052909.0A Pending CN103907198A (zh) 2011-11-04 2012-11-05 形成n型掺杂半导体基板的p型掺杂铝表面区域的方法

Country Status (5)

Country Link
US (1) US8927428B2 (zh)
EP (1) EP2774183A1 (zh)
JP (1) JP2015502028A (zh)
CN (1) CN103907198A (zh)
WO (1) WO2013067493A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI692545B (zh) * 2015-08-14 2020-05-01 荷蘭商Asm智慧財產控股公司 形成高p型摻雜鍺錫膜的方法以及包含該等膜的結構和裝置

Families Citing this family (249)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
FR2989520B1 (fr) * 2012-04-11 2014-04-04 Commissariat Energie Atomique Procede de realisation d'une cellule photovoltaique a heterojonction
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP2017162636A (ja) * 2016-03-09 2017-09-14 ナミックス株式会社 導電性ペースト及び太陽電池
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050268963A1 (en) * 2004-02-24 2005-12-08 David Jordan Process for manufacturing photovoltaic cells
US20090255583A1 (en) * 2008-04-15 2009-10-15 E.I. Du Pont De Nemours And Company Aluminum pastes and use thereof in the production of silicon solar cells
US20100163101A1 (en) * 2007-04-25 2010-07-01 Ferro Corporation Thick Film Conductor Formulations Comprising Silver And Nickel Or Silver And Nickel Alloys And Solar Cells Made Therefrom

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006003830A1 (ja) 2004-07-01 2006-01-12 Toyo Aluminium Kabushiki Kaisha ペースト組成物およびそれを用いた太陽電池素子
US20060231802A1 (en) * 2005-04-14 2006-10-19 Takuya Konno Electroconductive thick film composition, electrode, and solar cell formed therefrom

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050268963A1 (en) * 2004-02-24 2005-12-08 David Jordan Process for manufacturing photovoltaic cells
US20100163101A1 (en) * 2007-04-25 2010-07-01 Ferro Corporation Thick Film Conductor Formulations Comprising Silver And Nickel Or Silver And Nickel Alloys And Solar Cells Made Therefrom
US20090255583A1 (en) * 2008-04-15 2009-10-15 E.I. Du Pont De Nemours And Company Aluminum pastes and use thereof in the production of silicon solar cells

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI692545B (zh) * 2015-08-14 2020-05-01 荷蘭商Asm智慧財產控股公司 形成高p型摻雜鍺錫膜的方法以及包含該等膜的結構和裝置

Also Published As

Publication number Publication date
EP2774183A1 (en) 2014-09-10
JP2015502028A (ja) 2015-01-19
WO2013067493A1 (en) 2013-05-10
US20130112251A1 (en) 2013-05-09
US8927428B2 (en) 2015-01-06

Similar Documents

Publication Publication Date Title
CN103907198A (zh) 形成n型掺杂半导体基板的p型掺杂铝表面区域的方法
US20090229665A1 (en) Aluminum pastes and use thereof in the production of silicon solar cells
JP5438113B2 (ja) アルミニウムペーストおよびシリコン太陽電池の製造におけるアルミニウムペーストの使用
JP2011521018A (ja) アルミニウムペーストおよびシリコン太陽電池の製造におけるその使用
EP2433304A1 (en) Process of forming a grid electrode on the front-side of a silicon wafer
TW201312594A (zh) 鋁膏及其於鈍化射極及背接觸式矽太陽能電池之製造的使用
EP2534695A2 (en) Process for the production of a mwt silicon solar cell
EP2433306A1 (en) Process of forming a grid electrode on the front-side of a silicon wafer
US20130056060A1 (en) Process for the production of lfc-perc silicon solar cells
CN102037573A (zh) 形成硅太阳能电池的方法
US20130160844A1 (en) Thick-Film Composition Containing Antimony Oxides And Their Use In The Manufacture Of Semiconductor Devices
EP2433305A1 (en) Process of forming a grid electrode on the front-side of a silicon wafer
US8017428B2 (en) Process of forming a silicon solar cell
US20120160314A1 (en) Process for the formation of a silver back anode of a silicon solar cell
CN103907207A (zh) 形成半导体基板的p型掺杂铝表面区域的方法
US20130074917A1 (en) Process for the production of a mwt silicon solar cell
CN103858241A (zh) 用于制备mwt硅太阳能电池的方法
US20130160834A1 (en) Back-side electrode of p-type solar cell, and method for forming the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20140702